Forum: FPGA, VHDL & Co. Probleme mit Generic und Components


von Patrick B. (p51d)


Lesenswert?

Hallo zusammen

Ich wollte mich wieder ein wenig mehr mit VHDL auseinander setzten. 
Dabei bin ich auf Generic gestossen, was doch recht interessant zu 
schein scheint.
Doch als ich es ausprobieren wollte, scheiterte ich...

kann mir jemand weiterhelfen?

Die Datei parity_decoder.vhd
1
entity parity_decoder is
2
  Generic (bits : POSITIVE := 8);
3
   Port ( input : in  STD_LOGIC_VECTOR (bits-1 downto 0);
4
          output : out  STD_LOGIC);
5
end parity_decoder;
6
7
architecture Behavioral of parity_decoder is
8
begin
9
  process(input)
10
    variable temp : STD_LOGIC;
11
  begin
12
    temp := '0';
13
    for i in 0 to bits-1 loop
14
      temp := temp xor input(i);
15
    end loop;
16
    output <= temp;
17
  end process;
18
end Behavioral;

Und dann noch test_parity_vhd
1
entity test_parity is
2
    Port ( test_in1 : in  STD_LOGIC_VECTOR(7 downto 0);
3
        test_in2 : in  STD_LOGIC_VECTOR(3 downto 0);
4
           test_out1 : out STD_LOGIC;
5
        test_out2 : out STD_LOGIC);
6
end test_parity;
7
8
architecture Behavioral of test_parity is
9
  component parity_decoder
10
    Generic (bits : POSITIVE);
11
    Port ( input : in  STD_LOGIC_VECTOR (bits-1 downto 0);
12
         output : out  STD_LOGIC);
13
  end component;
14
  
15
  signal in1 : std_logic_vector(7 downto 0);
16
  signal in2 : std_logic_vector(3 downto 0);
17
  signal out1 : std_logic;
18
  signal out2 : std_logic;
19
begin
20
21
  -- Komonenten instazieren
22
  parity1 : parity_decoder 
23
    generic map (bits => 8) 
24
    port map(input => in1,
25
          output => out1);
26
          
27
  parity2 : parity_decoder 
28
    generic map (bits => 4) 
29
    port map(input => in2,
30
          output => out2);
31
  -- Logik
32
  process(test_in1, test_in2)
33
  begin
34
    in1 <= test_in1;
35
    in2 <= test_in2;
36
    test_out1 <= out1;
37
    test_out2 <= out2;
38
  end process;
39
40
end Behavioral;

in einer testbench werden die Signale test_out1 und test_out2 nie 
gesetzt.

Besten Dank
Patrick

: Bearbeitet durch User
von dden (Gast)


Lesenswert?

Wieso machst du die Zuweisungen in einem Prozess?
Aber was solls da fehlen ganz einfach out 1 und 2 in der 
Sensitivity-Liste.

Mfg

von Duke Scarring (Gast)


Angehängte Dateien:

Lesenswert?

Patrick B. schrieb:
> kann mir jemand weiterhelfen?
Deine VHDL-Dateien sind fehlerhaft, da fehlen die verwendeten 
Bibliotheken:
1
$ vcom *.vhd
2
Start time: 08:25:42 on Mar 16,2015
3
vcom parity_decoder.vhd parity_decoder_tb.vhd
4
Model Technology ModelSim SE-64 vcom 10.3d Compiler 2014.10 Oct  7 2014
5
-- Loading package STANDARD
6
-- Compiling entity parity_decoder
7
###### parity_decoder.vhd(3):    Port ( input : in  STD_LOGIC_VECTOR (bits-1 downto 0);
8
** Error: parity_decoder.vhd(3): (vcom-1136) Unknown identifier "STD_LOGIC_VECTOR".
9
###### parity_decoder.vhd(4):           output : out  STD_LOGIC);
10
** Error: parity_decoder.vhd(4): (vcom-1136) Unknown identifier "STD_LOGIC".
11
###### parity_decoder.vhd(5): end parity_decoder;
12
** Error: parity_decoder.vhd(5): VHDL Compiler exiting
13
End time: 08:25:42 on Mar 16,2015, Elapsed time: 0:00:00
14
Errors: 3, Warnings: 0

Mit Korrekturen läßt sich der Code compilieren und simulieren. 
Allerdings ist Deine Testbench etwas schräg, da in Testbenches 
üblicherweise keine Ein- und Ausgänge verwendet werden. Außerdem fehlen 
die Stimuli.

Im Anhang findest Du ein Beispiel, wie das mit den Stimuli aussehen 
könnte.

Duke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.