Hallo,
ich habe das Forum durchforstet, bin aber nicht auf die passende Loesung
gestossen...
Es gibt haufenweise Loesungen fuer STD_LOGIC_VECTOR aber bisher habe ich
keine Loesung fuer STD_LOGIC gefunden...
Was ich gerne machen wuerde ist folgendes: (ich weiss das ist jetzt
falsch, aber so koennt ihr mein Problem erknnen)
1 | ...
|
2 | ......
|
3 | signal a,b,c,d,e,f : std_logic := '0';
|
4 | signal ADDITION : std_logic_vector(3 downto 0) := (others => '0');
|
5 | ...
|
6 | ADDITION <= a + b + c + d + e + f;
|
7 | .....
|
Auch mit dem Convertieren funktioniert das nicht, wenn ich folgende
versuche:
1 | ...
|
2 | ......
|
3 | signal a,b,c,d,e,f : std_logic := '0';
|
4 | signal ADDITION : integer range 3 to 0 := 0;
|
5 | ...
|
6 | ADDITION <= to_integer(unsigned(a)) + to_integer(unsigned(b)) + .... + to_integer(unsigned(f));
|
Es kommt folgender Fehler:
The expression can not be converted to type unsigned.
Welche Moeglichkeit gibt es hier die einzelnen Bits zu addieren?
Vielen Dank im voraus.