Forum: Analoge Elektronik und Schaltungstechnik Analoges Signal verzögern (100ns)


von olli (Gast)


Lesenswert?

Hallo,

ich habe ein analoges Signal welches alle paar millisekunden eine art 
sägezahnförmigen Pulst hat.
Ich möchte dieses Signal in zwei Pfade aufteilen, von denen einer um ca. 
100ns verzögert wird und das Signal anschliessend mit sich selbst 
überlagern, sodass zwei Pulse hintereinander entstehen.
Wie kann man sowas denn erreichen.

Habe bisher nur gesehen, dass man das über die Laufzeit erreichen kann 
mit  langen aufgewickelten Drähten, gibt es da auch noch elegantere 
Lösungen?

danke schon mal...

von Falk B. (falk)


Lesenswert?

20m RG174 ;-)

von MaWin (Gast)


Lesenswert?

olli schrieb:
> Habe bisher nur gesehen, dass man das über die Laufzeit erreichen kann
> mit  langen aufgewickelten Drähten, gibt es da auch noch elegantere
> Lösungen?

Kürzere Drähte :-)

Verzögerungsleitungen, die ein hochinduktiver Draht mit hochkapazitivem 
Belag darstellen.

http://web.hep.uiuc.edu/Engin/CDF/CMU/asd2_web/data_sheets_misc/rhombus.pdf

damit es funktioniert, muss das analoge Signal impedanzrichtig (mit R) 
eingespeist und abgenommen werden, sonst kommt es zu Refektionen.
1
   OpAmp             OpAmp
2
in --|>--R--Delay--+--|>-- out
3
              |    |
4
              |    R
5
              |    |
6
             GND  GND

von olli (Gast)


Lesenswert?

@falk: danke aber 20m kabel ist mir ein bischen zu klobig ;)
@MaWin: danke für das paper.. werde ich mal lesen..

von hp-freund (Gast)


Lesenswert?

So etwas?

ebay 282050593256

von hp-freund (Gast)


Lesenswert?

Oder ist der rein digital?

von hp-freund (Gast)


Lesenswert?

Ok. Ich nehme alles zurück.
Es scheint keine analoge Verzögerung im IC Gehäuse zu geben.
Sorry.

von Pandur S. (jetztnicht)


Lesenswert?

Aus einer Serie von L(serie) & C(parallel) simuliert man sich ein Kabel. 
Wobei ein Koax mit 100pF/m und 1uH/m angesetzt werden.
Wenn ich nun 20m verzoegern muesste wuerd ich vielleicht 40 stufen mit 
47pF und 470nH hintereindander schalten.
Was ist der Unterschied von einer Stufe mit 2nF und 20uH, zu 40 Stufen 
mit 47pF und 470nH ? Die Uebertragungsfunktion.

: Bearbeitet durch User
von hp-freund (Gast)


Lesenswert?

hp-freund schrieb:
> Es scheint keine analoge Verzögerung im IC Gehäuse zu geben.

Und schon wieder falsch :-(

Der ist es:

ebay 300940313569

von A. D. (egsler)


Lesenswert?

Was ist mir diesen Ultrasonic-Delay-Lines, wie man sie im analogen 
Fernsehempfang benötigte? Die sind doch genau dafür gebaut. Ich weiß 
aber nicht, ob man die noch irgendwo bekommt...

: Bearbeitet durch User
von hp-freund (Gast)


Lesenswert?

Julian S. schrieb:
> Was ist mir diesen Ultrasonic-Delay-Lines

Die haben 64µs Verzögerung.

von Sven B. (scummos)


Lesenswert?

Das Problem ist überraschend schwierig, weil du quasi eine Art Speicher 
brauchst und der muss relativ groß sein, wenn du über die Signalform 
nichts weißt. Die einzigen allgemein funktionierenden Lösungen sind m.W. 
wirklich ein langes Kabel, oder digitalisieren und digital verzögern (ob 
man dann noch einen DAC braucht, kann man sich ja überlegen).

LC/RC-Lösungen sind immer frequenzselektiv und nicht für jede Signalform 
verwendbar.

: Bearbeitet durch User
von Harald W. (wilhelms)


Lesenswert?

Sven B. schrieb:

> Das Problem ist überraschend schwierig, weil du quasi eine Art Speicher
> brauchst und der muss relativ groß sein, wenn du über die Signalform
> nichts weißt.

Nun, früher (tm) hatte jeder bessere Oszi eine sog. Verzögerungsleitung,
um auch das Signal am Triggerpunkt darstellen zu können. Es gibt also
kein grundsätzliches technisches Problem mit Verzögerungen im Bereich
von 100ns. Kleinere Signalverzerrungen wird man aber wohl in Kauf
nehmen müssen

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Es gibt immer noch ein paar Hersteller von passiven 
Verzögerungsleitungen:
http://www.interfacebus.com/Specialized_delay.html
Aber das scheint eine aussterbende Kunst zu sein.


Ich habe ein paar vor vielen Jahren auf einem Flohmarkt gekauft, vor 
allem von Lexor aus Großbritannien:
http://www.lexor.co.uk/products.shtml
ein weiterer Hersteller ist Rhombus in Kalifornien
http://www.rhombus-ind.com/dl-prods.html

Rhombus hat eine Application note zum Thema:
http://www.rhombus-ind.com/dlcat/app1_pas.pdf

: Bearbeitet durch User
von Sven B. (scummos)


Lesenswert?

Harald W. schrieb:
> Sven B. schrieb:
>> Das Problem ist überraschend schwierig, weil du quasi eine Art Speicher
>> brauchst und der muss relativ groß sein, wenn du über die Signalform
>> nichts weißt.
>
> Nun, früher (tm) hatte jeder bessere Oszi eine sog. Verzögerungsleitung,
> um auch das Signal am Triggerpunkt darstellen zu können. Es gibt also
> kein grundsätzliches technisches Problem mit Verzögerungen im Bereich
> von 100ns. Kleinere Signalverzerrungen wird man aber wohl in Kauf
> nehmen müssen

Kommt halt auf die Frequenz an. Bei 2 MHz ist das alles in Ordnung, aber 
wenn du ein Signal mit 500 MHz Bandbreite hast, wird dir außer der 
ADC/DAC und der Koaxkabel-Variante nichts übrig bleiben. Ich lasse mich 
aber auch gern eines besseren belehren.

von MiWi (Gast)


Lesenswert?

Sven B. schrieb:

>
> LC/RC-Lösungen sind immer frequenzselektiv und nicht für jede Signalform
> verwendbar.

Gut das Tektronix vor gefühlten 100 Jahren davon nix gewußt hat und die 
Delayleitungen berechnet und dann auch zur Zufriedenheit der Anwender 
verbaut hat....

Irgenwo im Netz gibts Bilder und Dokumentationen über deren im Gerät um 
das Bildrohr oder in einer Nut im Gehäuse verlegten 
Koax-"Spiral"Leitung....

Grüße

MiWi

von Christoph db1uq K. (christoph_kessler)


Lesenswert?


: Bearbeitet durch User
von Dergute W. (derguteweka)


Lesenswert?

Moin,

olli schrieb:
> ich habe ein analoges Signal welches alle paar millisekunden eine art
> sägezahnförmigen Pulst hat.

Mit Allpaessen (bestehend aus L und C) kann man auch sowas 
approximieren. Wie gross ist die Bandbreite des saegezahnfoermigen 
Pulses? Wellenwiderstand des Apparats?

Aus ganz alten Farbfernsehern kann man auch noch eine ca. 900nsec 
Verzoegerungsleitung bergen (zusaetzlich zu der schon erwaehnten 
64µsec). Die ging nach dem von MaWin verlinkten Prinzip.

Gruss
WK

von Marcus H. (Firma: www.harerod.de) (lungfish) Benutzerseite


Lesenswert?

hp-freund schrieb:
> hp-freund schrieb:
>> Es scheint keine analoge Verzögerung im IC Gehäuse zu geben.
> Und schon wieder falsch :-(
> Der ist es:
> ebay 300940313569

Genial, danke Dir:
http://www.rhombus-ind.com/dl-prods.html

Nun muss man nur noch rauskriegen, ob man die Bauteile, außer vom 
Hersteller bzw. über dessen Bemusterungs-Programm, beziehen kann.
Ich würde ungern "Ebay" in einer Stückliste stehen haben. :(

von dfg (Gast)


Lesenswert?


von Christoph db1uq K. (christoph_kessler)


Angehängte Dateien:

Lesenswert?

Damit möchte ich mal eine Phasenrauschmessung nach der Laufzeitmethode 
versuchen. Die drei hintereinandergeschalteten in der Mitte entsprechen 
etwa einem Kilometer Kabel, damit könnte ich noch etwa 75 kHz um 90 Grad 
verzögern.
Die Firma Painton scheint ein Vorgänger von Lexor zu sein, wurde schon 
1969 von Plessey aufgekauft. Und zu Belfuse finde ich nur noch ähnliches 
in Mil-Ersatzteillisten

von Christoph db1uq K. (christoph_kessler)


Angehängte Dateien:

Lesenswert?

Zum Typ CS18 habe ich nirgends Daten gefunden, daher hier Schaltplan und 
Pinbelegung von mir ausgemessen. Auch zur Belfuse-Leitung nur ein 
ähnlicher Typ. Alle haben zwischen 300 und 500 Ohm "Wellenwiderstand". 
Damit werden Rechteckpulse am saubersten übertragen.

von Jobst M. (jobstens-de)


Lesenswert?

MiWi schrieb:
> Sven B. schrieb:
>> LC/RC-Lösungen sind immer frequenzselektiv und nicht für jede Signalform
>> verwendbar.
>
> Gut das Tektronix vor gefühlten 100 Jahren davon nix gewußt hat und die
> Delayleitungen berechnet und dann auch zur Zufriedenheit der Anwender
> verbaut hat....

Delay-Leitungen != LC/RC ...
Abgesehen davon könnte eine LC/RC-Kombination zur einfachen Triggerung 
ausreichen. Aber bei dem Problem des TOs wird die Signalform benötigt.


Falk B. schrieb:
> 20m RG174 ;-)

10m

Ende offen, dann hat man es auch gleich addiert ...



Gruß

Jobst

von Detlev S. (drahtbruecke)


Lesenswert?

Vor Urzeiten gab es "Eimerkettenspeicher", damit wurde ein Signal analog 
gesampelt und von einem Kondensator zum nächsten "kopiert". Hinten kam 
das verzögerte Signal raus. Die Verzögerung ergab sich aus dem Takt mit 
dem kopiert wurde. Typenbezeichnung war glaub ich TDA1022.
Elektor hat damit mal einen Fernseher zum Oszi gemacht. "Normale" 
Anwendung sind analog aufgebaute Halleffekte (nicht der magnetische 
Hall-Effekt sondern das akustische endlos-Echo).

von Harald W. (wilhelms)


Lesenswert?

Detlev S. schrieb:

> Vor Urzeiten gab es "Eimerkettenspeicher",

Die reichen gerade mal, mehr schlecht als recht, für NF. :-(

von Felsentreu (Gast)


Lesenswert?

Hi,
was spricht denn dagegen, einfache ein Opamp zu verwenden.

olli schrieb:
> um ca.
> 100ns verzögert

Ca. 100ns Propagation Delay haben viele.

Grüße

von Detlev S. (drahtbruecke)


Lesenswert?

Harald W. schrieb:
> Detlev S. schrieb:
>
>> Vor Urzeiten gab es "Eimerkettenspeicher",
>
> Die reichen gerade mal, mehr schlecht als recht, für NF. :-(

Keine Ahnung was die für Daten hatten. Als mir die Schaltung Anfang der 
90er begegnete waren sie schon zu exotisch.

von MiWi (Gast)


Lesenswert?

Jobst M. schrieb:
> MiWi schrieb:
>> Sven B. schrieb:
>>> LC/RC-Lösungen sind immer frequenzselektiv und nicht für jede Signalform
>>> verwendbar.
>>
>> Gut das Tektronix vor gefühlten 100 Jahren davon nix gewußt hat und die
>> Delayleitungen berechnet und dann auch zur Zufriedenheit der Anwender
>> verbaut hat....
>
> Delay-Leitungen != LC/RC ...
> Abgesehen davon könnte eine LC/RC-Kombination zur einfachen Triggerung
> ausreichen. Aber bei dem Problem des TOs wird die Signalform benötigt.
>

Diese Leitungen wurden verwendet um das Signal zu verzögern, damit der 
Trigger der ganzen Geschichte hinterherkommt.... Es war also sehr wohl 
nötig die Signalform möglichst unverfälscht über eine große Bandbreite 
zu verzögern....

http://w140.com/tekwiki/wiki/Delay_line

http://w140.com/tekwiki/images/e/eb/585_delay3.jpg

und als Detail zum Schaltplan für den Vertikalverstärker:
http://w140.com/tekwiki/images/a/aa/516vertout_and_delay.png



MiWi

von Bitwurschtler (Gast)


Lesenswert?

Phasenschieber aka Leitungsnachbildung:
https://de.wikipedia.org/wiki/Phasenschieber

von Dergute W. (derguteweka)


Lesenswert?

Moin,

Hihi, ja einen TDA1022 muesste man "nur" mit 5.12 GHz takten, dann 
wuerde er 100ns Verzoegerung machen...

Hier 'n rein passiver LC-Allpass fuer  beidseitigen 75 Ohm Abschluss. Da 
ist die Gruppenlaufzeit von 0..500kHz ziemlich konstant 100nsec; 
darueber macht sie nen Buckel, bis ca. 220nsec bei 3Mhz.
1
.
2
      +---------||----------+
3
      |         330p        |
4
      |                     |
5
  o---o---UUUU---o---UUUU---o---o
6
          3µ9    |   3µ9
7
                 |
8
 75             ---             75
9
                --- 1n2
10
  o-+            |            +-o
11
    |            |            |
12
   ---          ---          ---

Gruss
WK

von Jobst M. (jobstens-de)


Lesenswert?

MiWi schrieb:
> Diese Leitungen wurden verwendet um das Signal zu verzögern, damit der
> Trigger der ganzen Geschichte hinterherkommt....

Ach, natürlich, es war anders herum. Aber dafür wurden ja eben auch 
keine LC/RC Kombis eingesetzt. Ich denke wir sind uns einig.


Dergute W. schrieb:
> Da
> ist die Gruppenlaufzeit von 0..500kHz ziemlich konstant 100nsec;
> darueber macht sie nen Buckel, bis ca. 220nsec bei 3Mhz.

Ich denke, da der TO einen Impuls mit 100ns verzögert zu zwei Pulsen 
machen möchte, werden die Frequenzanteile von diesem über 10MHz liegen.


Gruß

Jobst

von Lurchi (Gast)


Lesenswert?

Die rund 20 m Koaxleitung ist nicht so schlimm, wenn man eine dünne 
Ausführung nimmt. Die normale Ausführung ist halt oft günstiger und mit 
weniger Dämpfung.

von Matthias S. (Firma: matzetronics) (mschoeldgen)


Lesenswert?

Mal sehen, ob der TE nochmal wiederkommt, aber die normalen Y-Delay 
Lines in Fernsehern machten 450ns und immerhin eine Bandbreite von etwa 
4 Mhz.
100ns sind nur ein Viertel so lang wie die Dinger aus Fernsehern:
https://en.wikipedia.org/wiki/Analog_delay_line

Diese Delay Lines waren dazu da, den unvermeidlich längeren Signalweg 
des Farbsignals wieder mit dem Y Signal zur Deckung zu bringen, bevors 
Richtung CRT ging. Sie haben mit der 64µs Verzögerung nichts zu tun, das 
lief über die Glasplatten.

: Bearbeitet durch User
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.