Forum: Mikrocontroller und Digitale Elektronik JK Flipflop, synchroner Zähler, geänderte Sequenz


von Philipp (Gast)


Angehängte Dateien:

Lesenswert?

Hallo Zusammen,

ich zerbreche mir seit Stunden den Kopf und komme bei einem Problem 
nicht weiter, hoffe ihr könnt mir dabei helfen.

Es geht um folgende Ausgangslage:
Ein synchroner Zähler, bestehend aus drei JK-Flipflops, soll mit 
folgender Sequenzfolge entworfen werden: "0,2,4,6,1,3,5"
Generiert wird das aus der Zahlenfolge: "0,1,2,3,4,5,6"
Jetzt versuche ich das ganze über die 
"Wertetabelle->KV-Diagramm->Übergangsbedingung JK-Flipflop" die 
charakteristischen Gleichungen heraus zu finden. Jedoch schleicht sich 
dabei immer ein Fehler ein.

Mein Ansatz bisher:
-Ansatzlösung zuerst für den gleichen Aufbau mit der Sequenzfolge 
"0,1,2,3,4,5,6". Erstellen  (Siehe Bild1)
Das dürfte , so wie ich das sehe, auch richtig sein.
-Dann umstellen der Sequenzfolge. Wertetabelle aufstellen->KV 
Diagramm->Minterme bestimmen. Dann happert es jedoch beim Vergleich mit 
der charakteristischen Gleichung vom JK-Flipflop. Ich komme zu keinem 
sinnvollen Ergebnis. (Bild2)
Wenn ich die Wertetabelle betrachte würde ich einfach die Ausgänge 
vertauschen, in Q3,Q1,Q2. (Bild3) Aber mir fehlt der Beweis für diese 
Behauptung. Bzw die berechnete Lösung.
Könnt ihr mir da helfen?

Grüße
Philipp

: Verschoben durch Moderator
von Christian M. (Gast)


Lesenswert?

Vertausche Bit 0 und 2, Voila!

Gruss Chregu

von Philipp (Gast)


Angehängte Dateien:

Lesenswert?

Hallo Chregu,
danke für die Antwort.

Meinst du die beiden Ausgangsbits vertauschen? (Bild4)
Ich suche aber leider auch eine Berechnung über die charakteristische 
Gleichung für das Ergebnis. Da hapert es gerade...

Grüße

von Helmut S. (helmuts)


Lesenswert?

> folgender Sequenzfolge entworfen werden: "0,2,4,6,1,3,5"

Dann stell die Tabelle gleich mit dieser Sequenz auf. Es macht doch gar 
keinen Sinn die Tabelle mit 0,1,2,3,4,5,6 zu machen.

von Philipp (Gast)


Lesenswert?

Hallo Helmut,

die Aufstellung mit der Sequenz 0,1,2,3,4,5,6 war auch mehr eine 
Verdeutlichung was ich meine, mit der Lösung der char. Gleichung.
Auch ein bisschen für mich, um das ganze zu verifizieren und zu schauen 
ob ich das richtig mache.
Im zweiten Schritt wurde die Wertetabelle ja komplett neu aufgestellt 
mit der neuen Sequenz. Ist dann so gesehen auch unabhängig von der 
ersten...

Grüße

von Peter D. (peda)


Lesenswert?

Philipp schrieb:
> "0,2,4,6,1,3,5"

Vequerer gehts wohl kaum.
Und was kommt nach der 5 oder ist das egal?

Im Prinzip muß an J eine 1 anliegen, wenn der FF im nächsten Takt auf 1 
wechseln soll. Und diese Gleichung stellt man für alle 3 Js und Ks auf.

von Peter D. (peda)


Lesenswert?

1
J1 = Q2 + Q3
2
K1 = Q3
3
J2 = !(Q1 + Q2)
4
K2 = 1
5
J3 = Q2
6
K3 = !Q1

von kast (Gast)


Lesenswert?

Soweit ich das sehe (habe mir Q1 und Q2 angeschaut), passt das 
KV-Diagramm nicht zur Wahrheitstabelle - ich nehme an, einfach falsch 
eingetragen
(od. das KVD ist falsch beschriftet

von Detlef _. (detlef_a)


Angehängte Dateien:

Lesenswert?

Ja, sowas macht Spass!

Im angehängten pdf habe ich mal nen Zähler gebastelt, der 
"0,2,4,6,1,3,5" mit JK-FF zählt.

Das geht so:

Oben links ist das Verhalten des JK abhängig vom aktuellen Zustand und 
den JK Eingängen dargestellt.

Die Tabelle rechts oben zeigt, wie man die JK beschalten muss, wenn man 
am Ausgang beispielsweise von 0 zu 1 kommen will: J muss 1 sein, K is 
egal.

Jetzt kommt die Tabelle in der Mitte:

Beispielsweise nach der 0 soll der Zähler auf 2 springen, also von '000' 
zu '010'. Die Zustände an den JK Eingängen der drei FF schreibe ich in 
die Zeile.

vorletzter Schritt: Jetzt bastel ich eine kombinatorische Logik, die mir 
die Ausgänge meines Zählers so auf die JK Eingänge umsetzt wie ich das 
vorgegeben habe. Dazu verwendet man vorzugsweise KV Diagramme oder 
scharfes Hinsehen. Bei JK ist das besonders günstig, weil da immer die 
'dont cares' vorkommen.

Letzter Schritt: Was ist mit der '7', da darf der Zähler nicht 
hängenbleiben. Da muss man kucken, ob das hinhaut (In meinem Fall tats 
das), ansonsten muss man den durch Vorgabe von diesem Zustand 
wegzwingen,l kostet bißchen Logik.

Dieses Vorgehen klappt auch mit T oder D-FF. Das hat man so in den good 
ol' days gemacht, heute gibt man die Zählreihenfolge in VHDL vor und die 
Designtools machen den Rest ;-)

Hat Spaß gemacht. Kommt was anderes raus als Peter gefunden hat. Ich hab 
das bestimmt aber nicht fehlerfrei hingekriegt und vllt. ist das auch 
ein Benennungsproblem.

Cheers
Detlef

: Bearbeitet durch User
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.