Forum: FPGA, VHDL & Co. Hamming Codierer


von Maria S. (Firma: KIT) (slowargent29)


Angehängte Dateien:

Lesenswert?

library ieee;
use ieee.std_logic_1164.all;

entity hamming-coder is
  port (
    A: in std_logic_vector (4 downto 0);
    K: out std_logic_vector (3 downto 0)
    );
  end entity hamming-coder;

architecture behavior hamming-coder is

begin


-> erbitte um Lösungsvorschläge. :)

: Bearbeitet durch User
von Professor FPGA (Gast)


Lesenswert?

1
library ieee;
2
 use ieee.std_logic_1164.all;
3
 
4
entity hamming-coder is
5
  port (
6
    A: in std_logic_vector (4 downto 0);
7
    K: out std_logic_vector (3 downto 0)
8
    );
9
  end entity hamming-coder;
10
 
11
architecture behavior hamming-coder is
12
 
13
begin
14
 K <= hamming (A);
15
end;

Bitteschön.

von Wolfgang R. (Firma: www.wolfgangrobel.de) (mikemcbike)


Lesenswert?

Wir sind hier nicht die Hausaufgabenlöser für Leute, die zu faul sind, 
einen eigenen Lösungsansatz vorzustellen.

Ich hätte gedacht, das wäre nach einigen ähnlich gelagerten Anfragen 
jetzt endlich klar gewesen...

von Wolfgang R. (Firma: www.wolfgangrobel.de) (mikemcbike)


Lesenswert?

Professor FPGA schrieb im Beitrag #4811345:
> K <= hamming (A);

ROFL...

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Maria S. schrieb:
> -> erbitte um Lösungsvorschläge. :)
Zeig doch du mal deinen Ansatz, dann haben wir was zum Diskutieren. 
Aber nur "Macht mal bitte meine Hausaufgabe!" zu sagen ist ein sehr 
naiver Ansatz...

von Medizinzuverlässigkeitsexperte (Gast)


Lesenswert?

Wolfgang R. schrieb:
>> K <= hamming (A);
>
> ROFL...

Das wird so nicht gehen. Die VHDL-Funktion heisst:

procedure hamming_d (Input, distance)

Ich würde aber zur Absicherung zur einer FEC raten.

von Strubi (Gast)


Lesenswert?

Auch ich hätte mal wieder eine Anfrage:

Ist es möglich, in VHDL einen Nach-Hausaufgaben-Lösungen-Fragen-Erkenner 
zu schreiben, der automatisch solche Postings beantwortet?
Die Netiquette scheint ja nicht mehr zu greifen.

Ich möchte auch zu bedenken geben, dass es absolut NICHTS bringt, sich 
diese Hilfe in den Foren zu holen. Denn später wird im Job etwas mehr 
Biss erwartet, und die LinkedIn-Einserkandidaten mit fehlendem 
Einarbeitungs-Gen verseuchen die Joblandschaft schon zur Genüge.

von Mw E. (Firma: fritzler-avr.de) (fritzler)


Lesenswert?

Experte schrieb im Beitrag #4811831:
> Wer da nicht mitmacht, bleibt zurück und packt erst gar nicht das
> Studium.

Also ich habs bisher ohne Beschiss gepackt.
Vollzeitstudium heißt nunmal ne 60h Woche mindestens.
Wer das nicht rafft fliegt früher oder später.

Ein Semester hat 30LP (Leistungspunkte).
1 LP = 30h Arbeitsaufwand.
-> 900h/Semester
Vorlesungszeit für das TUB WS16/17 sind 15 Wochen
-> 60h/Woche
Im Endeffekt etwas weniger, da ist auch Klusurvorbereitung mit drinne 
und die finden ja nach den Vorlesungswochen statt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.