Forum: FPGA, VHDL & Co. Ein AXI Interface mehrere Protokolle?


von olpo (Gast)


Lesenswert?

Hallo,


das Dokument Xilinx AXI Reference Guide ist leider etwas ungenau.
Kann mir jemand bestätigen, dass es sich bei AXI um ein einziges 
HW-Interface handelt; AXI-full, AXI-Lite und AXI-Stream jedoch 
verschiedene Protokolle sind, die alle über das gleiche Interface, also 
mit den gleichen Datenleitungen, gesendet werden?
AXI-full, AXI-Lite und AXI-Stream beschreiben also bloß, wie AXI4 
genutzt und Daten interpretiert werden. Richtig?

von Bert (Gast)


Lesenswert?

Also rein von den Leitungen ist AXI-Full und AXi-Stream schon anders 
aufgebaut als das reine AXI-Lite wenn ich es richtig in Erinnerung habe.
Ist bei mir schon ein halbes Jahr her.

Ich weiß nicht, was du vor hast, aber ich würde, wenn du selber 
VHDL/Verilog schreibst wenn überhaupt dort maximal das AXI-Lite 
nachbauen, das lässt sich relativ leicht handhaben.

Für AXI-Stream oder AXI-Full würde ich dann eher in System-C mit 
VivadoHLS etwas entwickeln. Da wird das komplette AXI-Full Interface 
dann automatisch gebaut und kann es vom C-Code aus sehr einfach 
ansprechen.

von olpo (Gast)


Lesenswert?

Nee, ich will nichts bauen, ich muss das nur dokumentieren.
Trotzdem danke.

Ich bin mir mittlerweile auch sicher, dass sowohl Leitung als auch 
Protokoll anders sind.

von S. R. (svenska)


Lesenswert?

olpo schrieb:
> Ich bin mir mittlerweile auch sicher, dass sowohl Leitung als auch
> Protokoll anders sind.

Warum willst du dann das Gegenteil bestätigt haben?

von Thomas R. (Firma: abaxor engineering) (abaxor)


Lesenswert?

olpo schrieb:
> AXI-full, AXI-Lite und AXI-Stream jedoch
> verschiedene Protokolle sind, die alle über das gleiche Interface, also
> mit den gleichen Datenleitungen, gesendet werden?

Nein das ist definitiv nicht so.

AXI-full und AXI-Lite sind klassiche Busse, mit Adress, Daten und 
Steuerleitungen. Der Unterschied besteht darin, dass AXI-Full 
Burst-Transfers bereitstellt und AXI-Lite nicht.

Mit AXI-Stream verbindet man signalverarbeitende Einheiten 
untereinander, z.B. Filter, mit  FIFOs.

Ein Beispiel wäre

                Prozessor
                   ^
                AXI-Lite
                   v
ADC <AXI-Stream> Filter <AXI-Stream> DAC

Hier kannst du Filterkoeffizienten per AXI-Lite vom Prozessor nachladen.

Tom

von Simon K. (simon) Benutzerseite


Lesenswert?

Thomas R. schrieb:
> Nein das ist definitiv nicht so.

Du hast ja recht, aber bist nicht auf die frage eingegangen. Gerade 
wegen diesen erweiterten Features wie burst Mode beim axi full, benötigt 
man mehr steuerleitungen. Axi lite ist von dem Interface her ein subset 
von axi full. Und axi stream sieht stark anders aus.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.