Forum: FPGA, VHDL & Co. Quartus Verilog Warnung


von Martin O. (ossi-2)


Lesenswert?

Der Verilog Code:
1
reg [ 8-1:0] cntr1 ;
2
always @(posedge clk_1) begin
3
  cntr1 <=  cntr1 + 1 ;
4
  end
ergibt unter Quartus die Warnung:
1
Warning (10230): Verilog HDL assignment warning ....:
2
truncated value with size 32 to match size of target (8)
In XILINX-VIVADO und IcarusVerilog bekomme ich diese Warnung nicht.
Schreibe ich
1
cntr1 <=  cntr1 + 1'b1 ;
Verschwindet die Warnung. Anscheinend geht Quartus davon aus, dass
"1" ein 32-Bit Wert ist. Warum ?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Martin O. schrieb:
> Anscheinend geht Quartus davon aus, dass
> "1" ein 32-Bit Wert ist. Warum ?
Konterfrage: welche Wortbreite hat in Verilog ein normaler, nicht 
eingeschränkter Integer, wie es das Literal 1 einer ist?

von Martin O. (ossi-2)


Lesenswert?

Anscheinend gilt:
..... Sized or unsized numbers (Unsized size is 32 bits) .....
Frage die bleibt: Warum in Quartus ne Warnung, und in VIVADO resp 
IcarusVerilog nicht ?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.