Forum: FPGA, VHDL & Co. Xilinx ISE 10.1.03 PACE verweigert Pin Assignment


von keinABEL (Gast)


Lesenswert?

Hallo zusammen,

wer sich fragt, wieso ich noch mit der 10er ISE umeinandertu, die haben 
leider den ABEL- Support eingestellt, aber im Lauf der Zeit sind doch 
ein paar recycelbare Module zusammengekommen. Also 10.1.03 mit Updates 
in eine frische WXP-VM eingespielt, neues Design gebastelt.

Soweit OK, aber PACE spielt derzeit nicht mit. Kann es zwar ohne Fehler 
aufrufen, es sind auch alle Signale da, kann sie auch im Design Browser 
anklicken, aber wenn ich sie auf das Package Pins- Fenster rüberziehe, 
kommt das Halteverbotssymbol - auf dem ganzen Fenster, nicht nur bei 
verbotenen Pins.

Das ist ungünstig, weil es so nicht weitergeht. Vom Suchen in der 
Support- Base von Xilinx habe ich auch schon rote Augen. Kann mich 
jemand erlösen? Gegebenenfalls durch Genickschuß, bevorzugt durch ne 
Idee, was das sein könnte.

Danke fürs Lesen.

von C. A. Rotwang (Gast)


Lesenswert?

keinABEL schrieb:

> Soweit OK, aber PACE spielt derzeit nicht mit. Kann es zwar ohne Fehler
> aufrufen, es sind auch alle Signale da, kann sie auch im Design Browser
> anklicken, aber wenn ich sie auf das Package Pins- Fenster rüberziehe,
> kommt das Halteverbotssymbol - auf dem ganzen Fenster, nicht nur bei
> verbotenen Pins.

PACE ist unbrauchbar, das ist mein Fazit. In den späteren Versionen 
wurde es wenigstens etwas stabiler, aber nicht wirklich nutzbar. Besser 
das ucf mit texteditor erstellen.

von keinABEL (Gast)


Lesenswert?

C. A. Rotwang schrieb:
> aber nicht wirklich nutzbar. Besser
> das ucf mit texteditor erstellen.

Jo, genau dazu gibt es grafische Tools. :-(
Hab mit der 14.7 ein ucf zum Spicken angelegt, aber die hat sich auch 
heftig gewehrt. Aber dafür ist man bei Testverzeichnissen mit der 
Löschtaste beherzter. Nach der dritten Neuanlage des ucf und ein paar 
anderen files ging auf einmal alles, auch in meiner 10.1- VM im echten 
Projekt - haben die einen Zufallsgenerator dazu eingebaut?

Insgesamt befällt mich ein grusliges Gefühl, wenn man auf solche Pakete 
angewiesen ist, die mal tun und mal nicht und deren Erratas fetter sind 
als die Doku.

Jedenfalls sind ein paar Sigs auf Pins verteilt, den Rest mache ich 
wirklich im Texteditor. Aber nicht mehr jetzt, für heute hab' ich den 
Kanal voll.

Erstmal Dank an Rotwang, möglicherweise lesen wir einander wieder, wenn 
ich beim Erzeugen des Jedec- Files ausflippe.

von C. A. Rotwang (Gast)


Lesenswert?

keinABEL schrieb:
> C. A. Rotwang schrieb:
>> aber nicht wirklich nutzbar. Besser
>> das ucf mit texteditor erstellen.
>
> Jo, genau dazu gibt es grafische Tools. :-(
> Hab mit der 14.7 ein ucf zum Spicken angelegt, aber die hat sich auch
> heftig gewehrt. Aber dafür ist man bei Testverzeichnissen mit der
> Löschtaste beherzter. Nach der dritten Neuanlage des ucf und ein paar
> anderen files ging auf einmal alles, auch in meiner 10.1- VM im echten
> Projekt - haben die einen Zufallsgenerator dazu eingebaut?

lt. Xilinx-FAE wechselte man das GUI-toolkit und ähnliches öfters, auch 
schien die Linux und die Windows version sich erheblich in punkto 
GUI-Stabilität, Antwortzeiten zu unterscheiden.Ein echter 
Zufallsgenerator ist wohl nicht implementiert, aber die 
Speicherverwaltung verhielt sich ähnlich. Ich hab da mall vor6-9 Jahren 
Xilinx beschrieben, das man nur 5 minuten Kreise mit der Maus ziehen 
muss, damit PACE seine Arbeit einstellt. Das problem scheint also 
weniger bei der eigentlichen FPGA-Tool-engine zu legen, als der GUI oben 
drüber die ja auch noch weitgehend kompatibel zu allen möglichen 
Windows/Linux/X11-Derivaten sein soll.


> Insgesamt befällt mich ein grusliges Gefühl, wenn man auf solche Pakete
> angewiesen ist, die mal tun und mal nicht und deren Erratas fetter sind
> als die Doku.

Ist man nicht, man kann die grafische oberfläche der ISE weitgehend 
links liegen lassen und alles "klassisch" per makefile laufen lassen.
Die "alten" GUI-tools wie fpgaeditor, timingan laufen m.E. sehr 
stabil,impact hat kleine Macken, der project navigator hat schon mehr 
tücken. Aber einzig der relativ spät dem toolpaket hinzugefügte pace war 
lange Zeit ein "Totalverlust".
Einige Entwicklerteams hatten sich deshalb mit Excel Ersatz gestrickt, 
man kann auch das BGA-Pinout gut mit der 2D Matrix einer 
Tabellenkalkulation darstellen, die möglichen Parameter beispielsweise 
IOSTANDARD macht man über Auswahlmenü einstellbar. Abschliessen CSV aus 
Excel gespeichert, perl script drüber laufen lassen -> fertig das ucf.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.