Forum: FPGA, VHDL & Co. (freq x 2)/x , f=50khz


von Michael B. (giigeliboesch)


Lesenswert?

Hallo zusammen
(freq x 2)/x , f=50khz ist mein problem:
Bis jetzt habe ich es mit "diskretem" pll und teiler aufgebaut.
Da jetzt f auf einmal auf  2f steigt suche ich eine andere Lösung.
Ist ein fpga bsp. Basys3 board das richtige?
Gäbe es evt mit grc (gnu radio) bessere Entwicklungsumgebungen?

Bin dankbar um jede nützliche Antwort
gruss Michael

: Bearbeitet durch User
von Sven B. (scummos)


Lesenswert?

Hä?

von Mark B. (markbrandis)


Lesenswert?

Sven B. schrieb:
> Hä?

Er meine natürlich: Wie bitte?

;-)

von Dominic M. (dommii)


Lesenswert?

Da war doch Alkohol im Spiel :D

von michael bösch (Gast)


Lesenswert?

:) nein im gegenteil
versteht man das nicht?

von Christian S. (roehrenvorheizer)


Lesenswert?

Hallo,

was soll denn als X eingesetzt werden? Ganze oder relle Zahlen?
Warum läßt sich das X nicht im Voraus halbieren?
Was soll bei diesem Experiment heraus kommen?

Gruß

Beitrag #5373273 wurde vom Autor gelöscht.
von Sven B. (scummos)


Lesenswert?

michael bösch schrieb:
> :) nein im gegenteil
> versteht man das nicht?

Nein, überhaupt nicht. "(freq x 2)/x , f=50khz ist mein problem", hä? 
Das ist kein Problem, sondern zwei durch Komma getrennte Terme, bei 
denen zudem völlig unklar ist was die Symbole bedeuten. Ich weiß 
nichtmal, ob das "x" hier einmal als Multiplikation und einmal als 
Variable verwendet wird. Mach dir bitte die Mühe in drei, vier 
vollständigen Sätzen zu beschreiben was du eigentlich machen willst und 
was genau das Problem ist.

von Michael Bösch (Gast)


Lesenswert?

Hallo zusammen
Meine Ausgangsfrequenz sollte möglichst nahe aber veränderbar bei 5khz 
liegen. Ausgehend von 50kHz
Ein Teiler mit reelen Zahlen wäre perfekt. Klingt für mich aber 
unwahrscheinlich.

gr Michael

von Sven B. (scummos)


Lesenswert?

Gibt es, Stichwort Fractional PLL.

von Michael Bösch (Gast)


Lesenswert?

Danke vielmals, gehe mal googeln

Gr Michael

von Duke Scarring (Gast)


Lesenswert?

Michael Bösch schrieb:
> Meine Ausgangsfrequenz sollte möglichst nahe aber veränderbar bei 5khz
> liegen. Ausgehend von 50kHz
Also einfach ein Frequenzteiler durch 10?

von Dr. Sommer (Gast)


Lesenswert?

Michael Bösch schrieb:
> Ein Teiler mit reelen Zahlen wäre perfekt. Klingt für mich aber
> unwahrscheinlich.

Durch komplexe Zahlen teilen wird etwas schwierig!

von michael bösch (Gast)


Lesenswert?

nein zb:
2*50khz/21= ca. 4,76khz
so hab ichs bis anhin gemacht.
Ich möchte aber näher ran an 5 khz

Ich suche eine variablere lösung evt. mit fpga
gr michael

von sumo (Gast)


Lesenswert?

Duke Scarring schrieb:

> Also einfach ein Frequenzteiler durch 10?

[ möglichst nahe aber veränderbar ]
Wie machst du das mit einer Teilung durch 10?

von Andi (Gast)


Lesenswert?

Zum teilen braucht es eigentlich keine PLL, sondern nur einen NCO nach 
dem DDS Verfahren. Der entstehende Jitter darf allerdings nicht stören.

Wenn deine Ausgangsfrequenz zum Beispiel 51.25 kHz ist teilst durch 
10.25 um genau 5 kHz zu erhalten. Die DDS erzeugt dann Perioden mit 3 
mal 10 Takten und einmal 11 Takten, was im Mittel 10.25 ergibt, aber 
halt nur über eine längere Zeit betrachtet. Wenn du jede Periode 
anschaust, hast du 3 mal 5.125 kHz und einmal 4.66 kHz.
Je nach Anwendung stört das, oder auch nicht.

von michael bösch (Gast)


Lesenswert?

counter und D Flip flop
aber das brauch ich nicht

gr michael

von Simon K. (simon) Benutzerseite


Lesenswert?

michael bösch schrieb:
> nein zb:
> 2*50khz/21= ca. 4,76khz
> so hab ichs bis anhin gemacht.
> Ich möchte aber näher ran an 5 khz
>
> Ich suche eine variablere lösung evt. mit fpga
> gr michael

Kein Problem:
2*50khz/20 = 5khz

von sumo (Gast)


Lesenswert?

Simon K. schrieb:
> michael bösch schrieb:
>> nein zb:
>> 2*50khz/21= ca. 4,76khz
>> so hab ichs bis anhin gemacht.
>> Ich möchte aber näher ran an 5 khz
>>
>> Ich suche eine variablere lösung evt. mit fpga
>> gr michael
>
> Kein Problem:
> 2*50khz/20 = 5khz

Doch ein Problem:
Beitrag "Re: (freq x 2)/x , f=50khz"

von Sebastian S. (amateur)


Lesenswert?

@michael
Bei der einfachen Aufgabe: Erläutern Sie mal das/Ihr Problem, bist Du 
mit 0 Punkten durchgefallen!

Zahlen kennst Du scheinbar, wie sieht es aber z.B. mit der Wellenform 
aus. Also z.B. Sinus, Kokosnuss oder Rechteck?

Ein bisschen zur Anwendung sollte auch schon rüber kommen. Sonst weiß 
keiner, ob eine eventuelle Lösung infrage kommt. Auch ist das hier kein 
kommerzieller Antwortservice, wo es den Antwortern egal ist worum es 
sich handelt. Auch könnten die Genauigkeit und Stabilität von Interesse 
sein.
Falls es sich um etwas mit Elektrischen Signalen handelt, könnte auch 
die Leistung/Spannung interessant sein.

Muss aber nicht sein, wir stochern auch gerne in Nebel herum.

von --- (Gast)


Lesenswert?

Das Problem ist voellig unklar.
Vermutlich ist das auch besser so.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.