Forum: FPGA, VHDL & Co. FPGA Evaluationsboard


von Syrius (Gast)


Lesenswert?

Moin liebe Community,
ich bin gerade im Masterstudium und muss wohl im nächsten Semester 
relativ viel auf einem FPGA programmieren. Es geht wohl vorallem in 
Richtung Regelung.

Ich habe bereits im Bachelor ein wenig mit VHDL programmiert, bin darin 
aber nicht wirklich fit.
Von daher wollte ich mir ein Evaluationsboard zulegen, um schonmal grob 
wieder in die Thematik einsteigen zu können.

Die Frage ist, ob ihr irgendwelche Empfehlungen für ein solches Board 
habt. Ich bin unter anderem auf dieses hier gestoßen:

https://www.nandland.com/

Gibt es Hersteller (Xilinx, Altera, Lattice), die besonders geeignet 
sind? Es wäre wichtig, dass es auch relativ viel Hilfe oder 
Beispielprojekte gibt, falls ich nicht weiterkomme.

Da ich im Studium bin, kann ich leider auch nicht wirklich viel Geld für 
ein solches Board ausgeben.

Vielleicht könnte ihr mir ja dabei helfen.

Schönen Gruß
Syrius

von Fpgakuechle K. (Gast)


Lesenswert?

Syrius schrieb:

> Da ich im Studium bin, kann ich leider auch nicht wirklich viel Geld für
> ein solches Board ausgeben.
>
> Vielleicht könnte ihr mir ja dabei helfen.

Normalerweise kann da der Prof mit Zugang zum FPGA-Labor weiterhelfen. 
Und mit Boards zum Uni-Rabatt.

von Schlumpf (Gast)


Lesenswert?

Hallo!

Ich würde zuerst mal klären, welchen Hersteller du im nächsten Semester 
vorgesetzt bekommst (Falls es eine Vorgabe gibt).
Und dann ein Board aussuchen, mit einem FPGA dieses Herstellers.
Dann kannst dich schon mal in das Werkzeug einarbeiten und fängst da 
nicht wieder von vorne an

von Duke Scarring (Gast)


Lesenswert?

Syrius schrieb:
> https://www.nandland.com/
Mir wären es zuwenig I/O, aber für den Einstig ok.

> Gibt es Hersteller (Xilinx, Altera, Lattice), die besonders geeignet
> sind?
Es sind alle geeignet. Nimm das, was ihr an der Hochschule verwendet 
habt. Da kennst Du die Software schon.

Duke

von Syrius (Gast)


Lesenswert?

Das Problem ist, dass es sich um einen kooperativen Studiengang handelt. 
D.h. ich kenne weder die Professoren aus dem nächsten Semester, noch die 
Laborausstattung, da das nächste Semester an einer anderen Hochschule 
ist.

Unterscheiden sich die Hersteller von der eigentlichen Programmierung? 
Oder nur an der Entwicklungsumgebung?

von Schlumpf (Gast)


Lesenswert?

Syrius schrieb:
> Unterscheiden sich die Hersteller von der eigentlichen Programmierung?
> Oder nur an der Entwicklungsumgebung?

von der grundlegenden Programmierung unterscheiden sie sich nicht. VHDL 
verstehen alle Tools.

Aber die Hersteller unterscheiden sich natürlich in den Tools und sie 
unterscheiden sich in der Tatsache, wie bestimmte Komponenten auf dem 
Chip wie RAM, PLL, etc instanziiert werden.

Aber es ist nicht so, dass man das Wissen dann nicht von eimem 
Hersteller zum anderen transferieren könnte.
Allerdings wäre es natürlich einfacher gewesen, wenn du schon wüsstest, 
welchen Hersteller du zukünftig verwenden wirst.

von Base64 U. (6964fcd710b8d77)


Lesenswert?

Wir hatten im Elektronik Bachelor ein Basys 3 von Digilent 
(https://store.digilentinc.com/fpga-programmable-logic/)

Eventuell kannst du es über die Uni beziehen?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Syrius schrieb:
> Unterscheiden sich die Hersteller von der eigentlichen Programmierung?
> Oder nur an der Entwicklungsumgebung?
Sie machen zwar alle FPGAs, aber jeder auf seine eigene Art. Und zwar 
vom FPGA bis zur Toolchain und dem Programmer.

> Unterscheiden sich die Hersteller von der eigentlichen Programmierung?
Ein Tipp vorweg: nenne nicht "programmieren" und "FPGA" im selben Satz.
Und dann ist es so: vom gesamten Sprachumfang von VHDL kannst du 
vieleicht 5% synthetisieren. Welche 5% das sind, steht im Manual zum 
Synthesizer, den du gerade verwendest.
So kann der eine Synthesizer z.B. Initialwerte, der andere kann die 
nicht. Und zwar obwohl sowohl das FPGA wie auch die Sprache VHDL das 
natürlich kann.

Bei der einen FPGA-Familie sollte man keine asynchronen Resets 
verwenden, bei der anderen ist es ratsam.

von Syrius (Gast)


Lesenswert?

Alles klar, danke schonmal für die schnellen Antworten.

Ich werde mal versuchen etwas über die Laborausstattung herauszufinden, 
welche Hardware dort verwendet wird.

von Strubi (Gast)


Lesenswert?

Für akademische Zwecke und gerade, wenn's noch um analoges geht 
(Stichwort Regelung) würde ich MyHDL empfehlen. Man spart damit viel 
Zeit und kann nachträglich die architektur-relevanten Sachen 
(insbesondere die ResetSignal-Thematik) umschalten. Es gibt zwar anfangs 
mehr Arbeit, aber man kann sich optimal auf das eigentliche Thema 
fokussieren, anstatt dauernd über die Eigenheiten der unterschiedlichen 
Vendor-Tools zu stolpern (und oft nicht wissen, wo man suchen muss).
Insbesondere bei der Arithmetik kommt bei MyHDL ziemlich sauberes VHDL 
raus, was die Tools alle sauber verwursten (also frei von 
ungeliebten/problematischen 'std_logic_arith'-Implementierungen). Mein 
Fazit: Perfekt für DSP.
Aber: Wenn deine Betreuer sich nicht drauf einlassen wollen, musst du 
ev. gegen Widerstände und verkrustete "Das haben wir schon immer so 
gemacht"-Meinungen anschwimmen.

von Michael W. (Gast)


Lesenswert?

Syrius schrieb:
> https://www.nandland.com/

Gut Werbung gemacht!

Wenn es Dir darum ginge, ein FPGA board zu erwerben, dann hätte man hier 
im Forum die Liste ansehen und sich was aussuchen können.

von Syrius (Gast)


Lesenswert?

Markus W. schrieb:
> Gut Werbung gemacht!
>
> Wenn es Dir darum ginge, ein FPGA board zu erwerben, dann hätte man hier
> im Forum die Liste ansehen und sich was aussuchen können.


Es ging mir nicht darum Werbung zu machen, bin nur zufällig darüber 
gestolpert.
Die Liste mit den Eva-Boards habe ich mir sehr wohl angeguckt. Aber als 
Anfänger in der FPGA-Welt bin ich damit ein wenig überfordert, welches 
Board nun wirklich sinnig ist.

Und bevor ich irgendwas kaufe und nicht zufrieden bin, wollte ich mal 
nachfragen.


Ich habe nun herausgefunden, dass im nächsten Semester mit 
Xlilinx-Boards gearbeitet wird.

von 2⁵ (Gast)


Lesenswert?

Syrius schrieb:
> Die Frage ist, ob ihr irgendwelche Empfehlungen für ein solches Board
> habt. Ich bin unter anderem auf dieses hier gestoßen:
>
> https://www.nandland.com/

Nun, 1K LUTs nicht nicht besonders viel. Da würde ich mir eher sowas 
holen: 
https://www.ebay.de/itm/Altera-Cyclone-IV-FPGA-Learning-Board-EP4CE6E22C8N-mit-USB-Blaster-Programmer/112169768619?hash=item1a1dd6d6ab:g:wPcAAOSwgyxWVGtq
Ein gutes Preis/Leistungs-Verhältnis hat auch das DE10-Lite: 
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&No=1021

Will man in der Xilinx Familie bleiben, ist das Arty Board sicher eine 
Überlegung wert: 
https://www.xilinx.com/products/boards-and-kits/arty.html

Aber wie schon andere gesagt haben: Versuche herauszubekommen, mit 
welchem Hersteller bzw. Board ihr nächstes Semester arbeiten werdet und 
besorge dir das.

von Holger Kärfte (Gast)


Lesenswert?

Natürlich ist Xilinx zu empfehlen. Der Rest ist Müll. Nen schönen Virtex 
5 zB als Einstieg.

von Gustl B. (-gb-)


Lesenswert?

Virtex 5 geht nicht mit VIVADO. Ein Artix ist da besser geeignet.

von FPGAGruftie (Gast)


Lesenswert?

> Der Rest ist Müll

Ja, Spaten 6 ist Müll.

Da bleib ich doch lieber beim A, als mir ein X vormachen zu lassen.

von S. R. (svenska)


Lesenswert?

Der Unterschied zwischen den aktuellen FPGAs von Xilinx (7er-Generation) 
und den vorherigen Versionen (z.B. Spartan3E oder 6) ist enorm, weil ein 
komplett anderes Programm benutzt wird.

Andererseits kriegt man Devboards mit denen recht günstig aus Asien.

von C. A. Rotwang (Gast)


Lesenswert?

S. R. schrieb:
> Der Unterschied zwischen den aktuellen FPGAs von Xilinx (7er-Generation)
> und den vorherigen Versionen (z.B. Spartan3E oder 6) ist enorm, weil ein
> komplett anderes Programm benutzt wird.

So enorm ist es IMHO nicht, es ist immer noch Hardwarebeschreibung in 
VHDL/Verilog. Auch die Toolchain ist im Prinzip gleich, allerdings hat 
sich Sntax und Struktur der "Configfiles" geändert.

Aber die Hauptschwierigkeiten für nen Anfänger sind immer noch die 
selben - alles was man über C-Programmierung o.ä.kennt über Bord werfen 
und sich mit den Grundlagen von Digitaler Schaltungstechnik 
(Multiplexer, synchrones Design, FSM, FIFO, PAD-Bschaltung) 
beschäftigen.

Mit Vivado wird man aber schneller in die Richtung SoC (uC im FPGA) mit 
SDK,Programmierung, Bootcode etc. gedrängt als mit ISE und EDK. Das ist 
dann noch ne Schippe Komplexität oben drauf.

von S. R. (svenska)


Lesenswert?

Für mich war das Hauptproblem nicht, in VHDL eine LED zu beschreiben und 
blinken zu lassen (das lernt man an der Hochschule hoch und runter), 
sondern diese VHDL-Beschreibung auf einen FPGA zu bekommen und die LED 
blinken zu sehen.

Wenn man das einmal durch hat, geht das.
Aber der Einstieg war schon ziemlich hart.

von A. F. (chefdesigner)


Lesenswert?

Du meinst, die Verwendung der Synthese? Das fand ich am Einfachsten. 
Problematischer sind die Kämpfe mit der Einbindung irgendwelcher 
Drittpartie-Cores, die von irgendwelchen Leuten gemacht wurden, die 
unzureichend dokumentieren. Oftmals haben die auch Takte und Enable und 
Datenformarte die bedient werden wollen und einen wrapper nach dem 
anderen erfordern.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.