Forum: FPGA, VHDL & Co. Lauflicht auf Button Click VHDL


von Robin K. (rvd153)


Lesenswert?

Hallo Leute,

für mich ist VHDL etwas absolut neues, muss aber dennoch eine kleine 
Aufgabe lösen, die vermutlich für jemanden vom Fach ein Leichtes ist.
Ich würde mich freuen, wenn ihr mir mit einem Code-Beispiel und kurzen 
Erklärungen dazu weiterhelfen könnt.
Ich habe mich schon ein wenig in die VHDL Programmierung eingelesen.

Hier nun die Aufgabe:

Eingangssignale:
· BUTTON_0
· BUTTON _1
· CLOCK_27MHz (Takt mit 27MHz)

Ausgangssignale:
· LED0, LED1, LED2, LED3

Aufgabenstellung:
· Nach dem Einschalten sind alle LEDs aus
· Wird BUTTON_0 gedrückt startet das Lauflicht von LED0 nach LED3 => nur 
LED0 leuchtet
genau 1 Sekunde, danach leuchtet nur LED1 genau 1 Sekunde, danach 
leuchtet nur LED2
genau 1 Sekunde, danach leuchtet nur LED3 genau 1 Sekunde, der Zyklus 
beginnt von vorn
und läuft endlos
· Der Zyklus wird gestoppt, wenn BUTTON _1 gedrückt wird, dabei werden 
alle LEDs wieder
abgelöscht und der Zyklus gestoppt
· Der Zyklus kann nach einem Stopp durch erneutes drücken von BUTTON_0 
wieder gestartet
werden





Vielen Dank im Voraus für Eure Hilfe.

Beitrag #5547344 wurde von einem Moderator gelöscht.
von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Robin K. schrieb:
> Aufgabenstellung:
Teile die Aufgabe auf in:
- Taster einlesen, einsynchronisieren und entprellen
- Takterzeugung zum Weiterschalten
- Generierung des Bitmusters für die LED

Der erste Teil wird etwa so gemacht:
http://www.lothar-miller.de/s9y/categories/18-Flankenerkennung
Zu den letzten beiden Aufgaben als Denkanstoß:
http://www.lothar-miller.de/s9y/archives/61-Lauflicht.html

Wenn du mal Code hast und eine Simulation dazu, dann kannst du dich ja 
mal mit konkreten Problemen und Fragen wieder melden...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.