Hallo zusammen,
Ich habe mir neulich ein DE=-Nano Board gekauft und wollte dieses nun
testen.
Dabei sind mir gleich 2 Probleme entgegen gesprungen, mit den ich nicht
klar kommen, wahrscheinlich weil ich noch ein Anfänger bin.
1. Ich bekomme das Control-Panel nicht zum laufen, da diese
Fehlermeldung angezeigt wirf: Load DLL(Terasic_JTAG_DRIVE.dll)fail
Wenn man dann auf ok drückt öffnet sich ein weiteres Fenster, welches
sagt, dass ich sicherstellen soll, das Quartus installiert ist.(habe
Quartus Prime 17.1)
2. Ich habe diesen kleinen Code in VHDL programmiert:
1 | library IEEE;
|
2 | use IEEE.STD_LOGIC_1164.ALL;
|
3 | entity test is
|
4 | port (
|
5 | clk : IN std_logic;
|
6 | SW :in STD_LOGIC_VECTOR(0 to 3);
|
7 | LEDG :out STD_LOGIC_VECTOR(0 to 3)
|
8 | );
|
9 | end test;
|
10 | architecture behavioral of test is
|
11 | begin
|
12 | process (clk)
|
13 | begin
|
14 |
|
15 | if rising_edge(clk) then
|
16 | LEDG <= SW;
|
17 | end if;
|
18 |
|
19 | end process;
|
20 | end behavioral;
|
Das Problem dabei ist, dass ich diesen Fehler bekomme:
Error (169032): I/O bank 3 contains input or bidirectional pins with I/O
standards that make it impossible to choose a legal VCCIO value for the
bank
Ich würde mich sehr über eine Antwort freuen.