Forum: FPGA, VHDL & Co. Interne Signale fuer Simulation sichtbar machen


von Mark W. (kram) Benutzerseite


Angehängte Dateien:

Lesenswert?

Hallo,

gibt es in VHDL die Moeglichkeit intern verdrahtete Signale an die TOP 
Entity als Ausgaenge anzudocken?
So wie im anghaengten PDF zum Beispiel.
Ich benutztze Lattice Diamond und da is Active HDL der Simulator und 
dort kann ich interne Signale nicht im Signalbrowser finden.

von Vancouver (Gast)


Lesenswert?

Mark W. schrieb:
> gibt es in VHDL die Moeglichkeit intern verdrahtete Signale an die TOP
> Entity als Ausgaenge anzudocken?

Klar, indem du sie in die Entity einfügst und intern anschließt, falls 
nötig durch die ganze Hierarchie. Bei VHDL-2008 kannst du evtl auch 
hierarchische Namen verwenden, siehe 
https://www.doulos.com/knowhow/vhdl_designers_guide/vhdl_2008/vhdl_200x_ease/#hierarchicalnames

> Ich benutztze Lattice Diamond und da is Active HDL der Simulator und
> dort kann ich interne Signale nicht im Signalbrowser finden.

Ich kenne ActiveHDL zwar nicht, aber dass ein Simulator keine internen 
Signale tracen kann, ist ein Unding. Vllt musst du auch im Simulator die 
Signale über hierarchische Namen ansprechen, z.B. 
tb.inst_1.inst_2.signalname?

Falls das wirklich nicht geht, wäre das ein Grund, zu einem anderen 
Simulator zu wechseln.

von Duke Scarring (Gast)


Lesenswert?

Für die Synthese oder für die Simulation?

Für die Simulation gibt es seit VHDL 2008 "hierarchical names":
https://www.doulos.com/knowhow/vhdl_designers_guide/vhdl_2008/vhdl_200x_ease/#hierarchicalnames

Sonst hilft nur das Signal händisch über alle Entites durchverdrathen.

Duke

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Mark W. schrieb:
> Ich benutztze Lattice Diamond und da is Active HDL der Simulator und
> dort kann ich interne Signale nicht im Signalbrowser finden.
Du willst die internen Signale also nicht wirklich nach aussen führen, 
sondern nur z.B. in der Waveform oder im Simulator ansehen?
Dann ist das der erste Schritt:
https://electronics.stackexchange.com/questions/395864/how-to-add-an-internal-signal-to-the-waveform-viewer-in-aldec-hdl-for-a-lattice
Anschließend solltest du die Submodule im Hierarchie-Browser aufklappen 
und interne Signale auswählen können.

von Mark W. (kram) Benutzerseite


Lesenswert?

Lothar M. schrieb:
> Mark W. schrieb:
>> Ich benutztze Lattice Diamond und da is Active HDL der Simulator und
>> dort kann ich interne Signale nicht im Signalbrowser finden.
> Du willst die internen Signale also nicht wirklich nach aussen führen,
> sondern nur z.B. in der Waveform oder im Simulator ansehen?
> Dann ist das der erste Schritt:
> 
https://electronics.stackexchange.com/questions/395864/how-to-add-an-internal-signal-to-the-waveform-viewer-in-aldec-hdl-for-a-lattice
> Anschließend solltest du die Submodule im Hierarchie-Browser aufklappen
> und interne Signale auswählen können.

Danke, hat funktioniert. Bei mir war es aber schon nicht angehakt.
Dann habe ich im DesignBrowser die UUT markiert und dann sind auch die 
internen Signale sichtbar geworden. Dann brauchte man diese nur noch in 
den Waveformviewer rueberziehen und neu simulieren und das wars.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.