Forum: Mikrocontroller und Digitale Elektronik ADC Störproblem


von ADC Problem (Gast)


Lesenswert?

Hi Leute,

ich habe ein Problem mit meinem Testaufbau. Ich nutze einen ADC128S022 
in einem 16-Pin TSSOP Gehäuse. Ich möchte mit diesem ADC die Analogwerte 
meines MEMS Mikrofons umwandeln. Ich nutze dieses hier: SPW2430 
https://media.digikey.com/pdf/Data%20Sheets/Adafruit%20PDFs/2716_Web.pdf
Die Digitalwerte werden mit einem Cyclone 10 FPGA ausgewertet.
Das FPGA gibt an 8 LEDs die Digitalwerte aus.
Das sieht so aus:
1
LED(1) <= '1' when max_value > 1060 and stop = '0' else '0';

Die Beschaltung des ADCs erfolgt wie im Datenblatt aus Seite 17 
beschrieben:
https://datasheet.octopart.com/ADC128S022CIMT-NOPB-Texas-Instruments-datasheet-7597910.pdf

Auf dem Breakout Board klappt das alles auch schon einwandfrei.
Nachdem ich die Schaltung nun auf eine Platine gelötet habe scheint das 
ganze nicht mehr richtig zu funktionieren.
Ich bekomme nur noch Werte wenn  man den ADC auf dem Gehäuse berührt.
Das angeschlossene Mikrofon spielt dabei keine Rolle mehr.

Die Kommunikation mit dem FPGA funktioniert, ich habe die Datenleitungen 
mit dem Oszilloskop überprüft.

Ich habe bereits mehrfach alle Leitungen überprüft aber es liegt weder 
eine falsche Verkabelung noch ein Kurzschluss irgendwo vor.

Habe ich zu wenig Kondensatoren?
Die Massen AGND und DGND habe ich zusammen an die Hauptmasse 
angeschlossen(am gleichen Punkt).
Hat jemand eine Idee oder einen Hinweis wie das Problem zu lösen ist?

von ADC Problem (Gast)


Lesenswert?

Oh, falsches Forum. Könnte ein Moderator mein Problem vielleicht in 
"Analogtechnik" verschieben?
Danke

von Matthias S. (Firma: matzetronics) (mschoeldgen)


Lesenswert?

ADC Problem schrieb:
> Die Massen AGND und DGND habe ich zusammen an die Hauptmasse
> angeschlossen(am gleichen Punkt).

Wichtig dabei ist die Vermeidung jeglicher Potenzialunterschiede über 
dem ADC. Eine brauchbare Praxis ist meist, AGND und DGND unmittelbar am 
ADC zu verbinden und sonst keine Querverbindungen zuzulassen.

Zeig mal das Layout.

von Thomas (kosmos)


Lesenswert?

die Eingänge hängen bestimmt in der Luft für Steckbrett empfiehlt sich 
soetwas hier. Damit kannst du gleich 8 Eingänge auf VCC oder GND ziehen

https://www.reichelt.de/widerstandsnetzwerk-10-kohm-sternschaltung-8wid-9pins-sil-9-8-10k-p18019.html?&trstct=pos_1

von Peter D. (peda)


Lesenswert?

Ich würde da lieber keinen FPGA nehmen, sondern einen MC und damit die 
Meßwerte über die UART oder auf ein Text-LCD ausgeben.
FPGAs nimmt man vorzugsweise nur für richtg schnelle Sachen (>100MHz).
Kann gut sein, daß der sauschnelle FPGA irgendwelche Leitungsreflexionen 
einliest.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

ADC Problem schrieb:
> Nachdem ich die Schaltung nun auf eine Platine gelötet habe
Zeig doch mal ein paar Fotos davon...

> Ich bekomme nur noch Werte wenn  man den ADC auf dem Gehäuse berührt.
Schlechte Lötstelle?

> Die Kommunikation mit dem FPGA funktioniert
Und das Timing und der Datenübernahme-Zeitpunkt passt auch?

Peter D. schrieb:
> Kann gut sein, daß der sauschnelle FPGA irgendwelche Leitungsreflexionen
> einliest.
Das sollte man aber am Klingeln auf den Datenleitungen sehen.

: Bearbeitet durch Moderator
von ADC Problem (Gast)


Angehängte Dateien:

Lesenswert?

Lothar M. schrieb:
> Und das Timing und der Datenübernahme-Zeitpunkt passt auch?
Ja das passt.

Lothar M. schrieb:
> Das sollte man aber am Klingeln auf den Datenleitungen sehen.

Wie sieht so ein klingeln aus?

Lothar M. schrieb:
> Zeig doch mal ein paar Fotos davon...

Foto ist im Anhang.

von ADC Problem (Gast)


Angehängte Dateien:

Lesenswert?

2 weitere Folkos befinden sich unter dem FPGA

von ADC Problem (Gast)


Lesenswert?

Bild 20191018_232827 zeit die angelötete Adapterplatine für den ADC. 
Unter dem ADC verläuft nur das GND Kabel in rot(ja, nicht passend aber 
schwarz ist mir ausgegangen).
Die 4 grünen Kabel sind für den Datenbus.
Links befindet sich CS.
Die 3 rechten Kabel sind mit MOSI, MISO und SCK (von oben nach unten) 
verbunden.

von Larry (Gast)


Lesenswert?

Mach mal 33 Ohm Widerstaende in alle Datenleitungen zwischen
FPGA und ADC. Am besten in SMD 0805.
Bei unidirektionalen Signalen an der Quelle.

von Peter D. (peda)


Lesenswert?

ADC Problem schrieb:
> Wie sieht so ein klingeln aus?

Das sind Schwingungen (Reflexionen) an den Flanken. Um sie zu sehen, 
sollte das Oszi schon >=200MHz Bandbreite haben.
Der ADC oder ein MC werden damit wenig Probleme haben, da zu langsam. 
Ein FPGA kann aber allergisch reagieren, wenn sie -0,5V unterschreiten.

Schau auch nochmal ins Datenblatt des FPGA, welche maximale 
Eingangsspannung er verträgt, d.h. ob er die Spannung des ADC-Ausgangs 
abkann.
Viele FPGA vertragen keine 5V-Pegel.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.