Forum: Analoge Elektronik und Schaltungstechnik genaue variable Spannugsmessung mit ADC


von New C. (wunderlampe)


Lesenswert?

ich habe eine DC-Spannung welches zwischen 0-250V varieren kann(es ist 
der Ausgang eine DC-Generators).

Ich muss dieser Spannung mit eine ADC, welches 250Msps und
" 1,5V p-p Eingangsbereich" hat
erfassen.

Wie sollte man dafür ein Frontend/Eingansschaltung verwirklichen.

von Paul (Gast)


Lesenswert?

Wenn Dir schon Spannungsteiler fremd sind, solltest Du die Finger von 
solchen Spannungen lassen.

von Olaf (Gast)


Lesenswert?

> Wie sollte man dafür ein Frontend/Eingansschaltung verwirklichen.

Einen SPannungsteiler dessen Ausgangswiderstand zum dynamischen 
Eingangswiderstand deines ADCs passt. Wenn du Glueck hast sind das nur 
zwei Widerstaende, wenn du Pech hast musst du wohl noch einen OP 
dazwischen setzen.

Olaf

von Alexxx (Gast)


Lesenswert?

>> ...welches 250Msps und...
Entweder haben Idioten die Vorgabe gemacht,
oder es riecht verdächtig nach Militär - was ich nicht unterstütze.
Dass du nichtmal den Wandlertyp benennst, bekräftigt mich darin.
Einen Ausgang eines DC(!)-Generators mit so einer Samplerate abzutasten,
erschließt sich mir nicht.
Jedenfalls sind für diese Frequenzen praktisch fundierte (HF-)Kenntnisse 
nötig, von denen du anscheinend Lichtjahre entfernt bist.
Auch scheinst du nicht zu wissen, dass Datenblätter oft 
Beispielschaltungen
beinhalten...

von Edi R. (edi_r)


Lesenswert?

Alexxx schrieb:
>>> ...welches 250Msps und...
> Entweder haben Idioten die Vorgabe gemacht,

Ich glaube nicht, dass das eine Vorgabe ist, sondern das ist 
wahrscheinlich einfach nur aus dem Datenblatt des (unbekannten) ADCs 
abgetippt. Der TO weiß vermutlich selber nicht, was er wirklich will.

von Peter D. (peda)


Lesenswert?

New C. schrieb:
> Ich muss dieser Spannung mit eine ADC, welches 250Msps und
> " 1,5V p-p Eingangsbereich" hat
> erfassen.

Wer dafür einen 250Msps ADC verwenden will, der sollte besser nicht an 
gefährlichen Spannungen arbeiten.

Wieviel kW hat denn der Generator?

von New C. (wunderlampe)


Lesenswert?

Nicht pessimistisch sein, die Welt ist noch schöner mit vertauender 
Gedanken.

Ich bin Programmierer, den ADC von typ LTC2123 habe ich zusammen mit 
einem FPGA-Board von Typ KC705 zusammen, der funktioniert  schnell 
gehört mir liegt zu Hause und deshalb will ich es einsetzen.

Softwareseitig mit vhdl usw. habe ich kein Problem, Problem ist 
Analogschaltungkentnisse.
Deshalb habe ich hier um Hilfe gebeten, damit einer von euch der sich 
mit Analogschaltungstechnik auskennt mir hilft dieser Projekt 
umzusetzen. Ich bin kein Analogtechniker , für mein Pension will ich 
eine neue Hobby neue Projekt. Es stimmt ich habe nicht die alle 
notwendigen Kenntnisse, vor 20 Jahren habe ich Elektrotechnik auf 
TU-Wien studiert aber schon lange her. Deshalb bitte ich die Board zur 
Hilfe.
Konkrete Hilfreicher beibringende Beispiele oder Vorschläge.
Bitte!

von New C. (wunderlampe)


Lesenswert?

Paul schrieb:
> Wenn Dir schon Spannungsteiler fremd sind, solltest Du die Finger von
> solchen Spannungen lassen.
Danke Paul, für diese Verantwortungsvolle Ratschlag. Keine Sorge, so 
schlimm bin ich doch nicht. :-)

von Peter D. (peda)


Lesenswert?

New C. schrieb:
> Konkrete Hilfreicher beibringende Beispiele oder Vorschläge.
> Bitte!

Informiere Dich erstmal über Personensicherheit beim Umgang mit hohen 
Spannungen und Leistungen. Nicht umsonst lernen Elektriker sowas und 
legen Prüfungen ab.
Dir konkrete Schaltungstips zu geben, wäre grob fahrlässig.

Jeder weiß, daß DC-Generatoren Störungen erzeugen, z.B. im Kommutator. 
Mit Deinem ADC wirst Du also nur diese Störungen messen. Du brauchst 
einen integrierenden ADC, z.B. dual-slope. Dein ADC ist absolut 
ungeeignet.

von New C. (wunderlampe)


Lesenswert?

Peter D. schrieb:
> New C. schrieb:
>> Ich muss dieser Spannung mit eine ADC, welches 250Msps und
>> " 1,5V p-p Eingangsbereich" hat
>> erfassen.
>
> Wer dafür einen 250Msps ADC verwenden will, der sollte besser nicht an
> gefährlichen Spannungen arbeiten.
>
> Wieviel kW hat denn der Generator?

Es ist ein selbstgebauter Generator. Die Stromleistung wird nie über 2A 
gehen. Ich habe den Spannungsbereich so Ausgewählt, damit ich auch mit 
der Spannungsspitzen und dynamische Umdrehungsgeschwindigkeit usw auf 
der sicheren Seite bin und auch entstehende Schaltung für solche 
Spannungsbereich Einsetzbar wird. Es ist nicht in eine Dauerbetrieb 
sondern für ein Versucht erzeugt ca 0,5 Sekunde lang Strom, dann wird 
automatisch ausgeschaltet.
Mit der Sicherheit, habe ich kein Problem.
Danke

(Für den Strommessung habe ich für Stromsensoren von typ "LTS 25-NP" von 
LEM besorgt, mit dessen Anbindung habe ich auch Probleme aber das werde 
ich in eine weitere Thread fragen.)

von Matthias S. (Firma: matzetronics) (mschoeldgen)


Lesenswert?

New C. schrieb:
> Ich muss dieser Spannung mit eine ADC, welches 250Msps und
> " 1,5V p-p Eingangsbereich" hat
> erfassen.
>
> Wie sollte man dafür ein Frontend/Eingansschaltung verwirklichen.

Aus diesen groben Daten schliesse ich, das evtl. ein Spannungsteiler 
1:200 das richtige wäre. Könntest du vernünftig alle 14 Bit des ADC 
benutzen, bleibt dann eine Auflösung von etwa 30mV.

von Udo S. (urschmitt)


Lesenswert?

Für einen mechanischen Stromgenerator macht eine Regelung maximal im mS 
Berich Sinn, schneller geht nicht wegen den Induktivitäten bzw die 
Drehzahländerung ist wegen dem Trägheitsmoment noch viel langsamer.

Also ist jegliche Abtastrate über 5000Hz völliger Unfug und würde nur 
dazu führen daß Parameterwerte unhandlich klein werden.

von Gustl B. (gustl_b)


Lesenswert?

Welcher Frequenzbereich ist dir denn wichtig? Die vollen 125 MHz? 
Vermutlich nicht, also wäre es sinnvoll hinter den Spannungsteiler noch 
einen Tiefpass zu bauen.

Edit:
Das ist völlig OK so einen ADC zu nehmen, wenn man ihn schon hat. Man 
kann die Samples ja filtern oder nur jeder nte Sample nehmen wenn einem 
die Abtastrate zu hoch ist. Mit einem einfachen langen gleitenden 
Mittelwert im FPGA könnte er sogar noch ein paar Bits gewinnen.

: Bearbeitet durch User
von Peter D. (peda)


Lesenswert?

Gustl B. schrieb:
> Mit einem einfachen langen gleitenden
> Mittelwert im FPGA könnte er sogar noch ein paar Bits gewinnen.

Diese Mär hört man leider immer wieder. Die Voraussetzungen dafür sind 
aber nur sehr selten gegeben. Die Monotonie des ADC muß höher sein, als 
seine Auflösung. Die Störungen müssen eine definierte Form besitzen. 
Optimal sind Sägezahn oder Dreieck. Ansonsten sind die zusätzlichen Bits 
nur Lottozahlen.

Ich hab das mal mit einem AVR (10Bit-ADC) probiert. Ein Spannungsteiler 
von 3000V auf 5V davor und gemittelt über 256 Meßwerte. Ich war 
verblüfft, wie sich die Meßwerte immer wieder auf 3V Schritte 
einpendelten.

von New C. (wunderlampe)


Lesenswert?

Danke Leute für die Aufklärungen.
Die hohe Samplingrate ist für mich sogar notwendig (zwar durch niedrige 
clock-Taktung anpassbar aber) ich will bloß für so eine Hobby-Projekt 
keine neue ADC-Karte kaufen wo ich schon eine gute habe.
>> Welcher Frequenzbereich ist dir denn wichtig? Die vollen 125 MHz?

Was mir wichtig ist, nicht eine Mittelwert der Leistung,
sondern in einem Zeitfenster von 20 millisekunden eine möglichst gute 
und detaillierte Abbild der erzeugten Strom- und Spannungs-Ablauf zu 
bekommen(also nicht wie viel Leistung diese Generator liefert, sondern 
in dieser Kurze Zeitfenster in welche Zeitpunkt welche Strom und 
Spannungswerte erzeugt wird). Sozusagen debuggen des erzeugten "Signals" 
wenn ich so nennen darf.
Ich will keine Lampe brennen lassen, sondern nur experimentieren.

von Klaus R. (klara)


Lesenswert?

New C. schrieb:
> Ich will keine Lampe brennen lassen, sondern nur experimentieren.

Dann hol Dir LTspice und simuliere bevor Du lötest.
mfg Klaus

von Gustl B. (-gb-)


Lesenswert?

New C. schrieb:
> Sozusagen debuggen des erzeugten "Signals"
> wenn ich so nennen darf.

Dafür gibt es doch das Oszilloskop?

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

https://www.analog.com/media/en/technical-documentation/data-sheets/2123fc.pdf
Der ADC hat differentielle Eingänge, und einen max. Eingangsstrom von 
1µA Daraus und den max 1,5Vpp lässt sich berechnen, wie hochohmig der 
Spannungsteiler sein darf, ohne dass der Eingangsstrom das Ergebnis 
verfälscht. 250V/1µA macht 250 MOhm, da sollte sich ein passender Teiler 
finden ohne Hochleistungswiderstände zu benötigen.

Spannungsfestigkeit der Widerstände beachten, eine Schutzdiode ist nicht 
verkehrt.
Berührungsschutz oder galvanische Trennung auch wenn der Generator 
potentialfrei ist.

von Gustl B. (-gb-)


Lesenswert?

Nun, er hat wohl nicht nur den nackten ADC sondern hoffentlich eine 
Platine auf dem der drauf sitzt? Vielleicht ist da schon ein Verstärker 
und etwas Eingangsbeschaltung drauf.

Zu dem genannten ADC gibt es jedenfalls zwei Eval-Boards:
DC2226A-A
https://www.analog.com/media/en/technical-documentation/eval-board-schematic/DC2226ASCH.PDF
DC1974A-B
https://www.analog.com/media/en/technical-documentation/eval-board-schematic/DC1974A3-SCH.PDF

Aber die haben beide AC-gekoppelte Eingänge. Also unklar ob das der 
Fragesteller so haben will. Ist aber eben auch unklar wie der ADC bei 
ihm genau beschaltet ist, ob er da selber eine Platine gebastelt hat 
oder welche gekaufte Platine er genau verwendet.

: Bearbeitet durch User
von Udo S. (urschmitt)


Lesenswert?

New C. schrieb:
> Was mir wichtig ist, nicht eine Mittelwert der Leistung,
> sondern in einem Zeitfenster von 20 millisekunden eine möglichst gute
> und detaillierte Abbild der erzeugten Strom- und Spannungs-Ablauf zu
> bekommen

??? Du redest doch von einem mechanischen Stromerzeuger, richtig? Zitat: 
"DC-Generator".
Welchen Erkenntnisgewinn erwartest du da wenn du mit 125 MEGAsamples 
abtastest? In dem Generator sind dicke Spulen drin, die sorgen dafür 
dass sich der Strom nicht so schnell ändern kann.

Gustl B. schrieb:
> Dafür gibt es doch das Oszilloskop?

Seh ich auch so.
Es ist also einfach: Schau dir die Eingangsstufen eines Oszilloskops mit 
mindestens 120MHz Bandbreite (incl. Tastkopf) an und du weisst wie du 
deine Eingangsstufe machen musst.

: Bearbeitet durch User
von New C. (wunderlampe)


Lesenswert?

Gustl B. schrieb:
> New C. schrieb:
>> Sozusagen debuggen des erzeugten "Signals"
>> wenn ich so nennen darf.
>
> Dafür gibt es doch das Oszilloskop?

Ich denke ich werde es doch mit einem Oszilloskop schnell und dirty 
erledigen.
Danke für die Vorschläge und eure Hilfsbereitschaft.

von Gustl B. (-gb-)


Lesenswert?

Bitte, aber rein aus Interesse:
Welche Platine mit dem ADC hast du denn? Ich würde mir gerne mal dieses 
Serielle Interface aus der Nähe angucken.

von Harald W. (wilhelms)


Lesenswert?

New C. schrieb:

> Ich denke ich werde es doch mit einem Oszilloskop schnell und dirty
> erledigen.

...und was ist an einer Messung mit einem Oszilloskop "dirty"?

von Codix (Gast)


Lesenswert?

Der überwiegende Teil der Antworten war mal wieder sehr freundlich und 
völlig an der Thematik vorbei.

Deutsch ist: Bedenkenträger vom Feinsten und fast schon beleidigend.

Es macht echt Spaß dieses Forum.


Harald W. schrieb:
> ...und was ist an einer Messung mit einem Oszilloskop "dirty"?

Wenn Du bspw. kein Speicheroszi hast? Es sollte Leute geben, die nur
analoge Oszis haben.

Und Du siehst dann den 20 ms Verlauf der Spannung auf einem analogen 
Oszi? Hut ab!

von Gustl B. (gustl_b)


Lesenswert?

Wo ist das Problem? Dann schließe ich den Trigger Out vom Oszi an den 
Auslöser Eingang meiner DSLR an und bekomme ein schönes Foto vom 
Oszischirm samt Signalverlauf.

von Harald W. (wilhelms)


Lesenswert?

Codix schrieb:

> Wenn Du bspw. kein Speicheroszi hast? Es sollte Leute geben, die nur
> analoge Oszis haben.
>
> Und Du siehst dann den 20 ms Verlauf der Spannung auf einem analogen
> Oszi? Hut ab!

Es gibt auch analoge Speicheroszis.

von New C. (wunderlampe)


Lesenswert?

Codix schrieb:
> Der überwiegende Teil der Antworten war mal wieder sehr freundlich und
> völlig an der Thematik vorbei.
>
> Deutsch ist: Bedenkenträger vom Feinsten und fast schon beleidigend.
>
> Es macht echt Spaß dieses Forum.
>
>
> Harald W. schrieb:
>> ...und was ist an einer Messung mit einem Oszilloskop "dirty"?
>
> Wenn Du bspw. kein Speicheroszi hast? Es sollte Leute geben, die nur
> analoge Oszis haben.
>
> Und Du siehst dann den 20 ms Verlauf der Spannung auf einem analogen
> Oszi? Hut ab!

DU BIST DER GRÖSSSTEE.
Auch in einem anderen thread habe ich gesehen, jemand hat geschrieben, 
bitte nicht sofort steinigen ich bin ein Anfänger.

Ich war dabei haar genau diesen Antwort zu schreiben.
Mein billiges Oszi kann nicht mehr speichern als 20K, und das ergibt 
überhaupt kein richtiges Verlauf, deshalb leider keine richtiges Lösung.

Ich muss mehrere Signale gleichzeitig prüfen , deshalb muss ich mit 
einem ADC alles gleichzeitig abtasten in einer DDR3 speichern, am Ende 
der Versuch alles wieder aus Speicher holen und in einem dafür speziell 
selbstgeschriebenden Oszilatorprogram bewerten.
Diesen Teil der Projekt habe ich schon gemacht, mit Dummy Daten getestet 
erledigt.

Das war was ich schon kann.
In den Teil was ich nicht kann, suche Hilfe bei professionellen Leuten.

Ich frage weil ich es nicht weiß, es ist keine schande das ich 
selbständig keine Spannungsteiler oder OpAmp designen kann. Ich kann 
viele viele andere Dinge, aber was ihr könnt genau das kann ich nicht.
Für ein Elektronik-Ingenieur oder Fachleute kann meine Fragen blöd 
klingeln(oder auch nicht machbar, unsinnig, usw. sein), genau dafür bin 
ich hier. Ich weiß nicht wie das professionell gemacht wird, ich will es 
von euch hilfsbereiten Fachleuten lernen und auch irgendwann vielleicht 
selber machen, oder anderen helfen.

DANKE

von New C. (wunderlampe)


Angehängte Dateien:

Lesenswert?

Gustl B. schrieb:
> Nun, er hat wohl nicht nur den nackten ADC sondern hoffentlich eine
> Platine auf dem der drauf sitzt? Vielleicht ist da schon ein Verstärker
> und etwas Eingangsbeschaltung drauf.
>
> Zu dem genannten ADC gibt es jedenfalls zwei Eval-Boards:
> DC2226A-A
> 
https://www.analog.com/media/en/technical-documentation/eval-board-schematic/DC2226ASCH.PDF
> DC1974A-B
> 
https://www.analog.com/media/en/technical-documentation/eval-board-schematic/DC1974A3-SCH.PDF
>
> Aber die haben beide AC-gekoppelte Eingänge. Also unklar ob das der
> Fragesteller so haben will. Ist aber eben auch unklar wie der ADC bei
> ihm genau beschaltet ist, ob er da selber eine Platine gebastelt hat
> oder welche gekaufte Platine er genau verwendet.

Es ist das Board "DC2226A-A"
Den Eingangsschaltung habe ich angehängt.

Ich wäre sehr sehr Dankbar, wenn Ihr eine Lösung vorschlagen könnt.

Der Genarator extrem simple, gesamte generator besteht aus einem 
schwachen Windung(also kein Hochspannung kein Gefahr), den werde ich 
über ein elektronik Load kurzschließen und mit einem hier 
vorgeschlagenen Lösung( Spannungsteile, OpamP was auch immer) zu ADC 
Eingang verbinden und 20 millisekunde lang detailiert abtasten.

: Bearbeitet durch User
von Gustl B. (gustl_b)


Lesenswert?

Mit dem ADC Eingang verbinden geht, aber mit dem Eingang auf der Platine 
verbinden ohne Modifikation der Platine macht vielleicht keinen Sinn 
weil das wie oben geschrieben AC gekoppelt ist. Wenn dich DC 
interessiert musst du auf der Platine etwas umbauen. Die Platine hat 
aber keine differentiellen Eingänge, also kannst du einen der ADC 
Eingänge auf V_CM legen und den anderen direkt mit dem Platineneingang 
verbinden.

Also C23 wegnehmen, C28 durch 0 Ohm ersetzen, R9 weg, T2 weg und die 
Pins 3 und 4 von T2 verbinden.
Dann hast du zwar nur noch die halbe differentielle Spanne, am 
Platinenanschluss, aber es ist DC gekoppelt. Jetzt musst du extern das 
Signal noch so anpassen, dass es im Bereich des ADCs liegt.

Alternativ machst du einen besseren Umbau und setzt auf die Platine 
einen volldifferentiellen Verstärker. Ist aber aufwändiger aber auch 
deutlich besser. Einen Spannungsteiler brauchst du aber trotzdem noch.

von Joachim B. (jar)


Lesenswert?

Gustl B. schrieb:
> Wo ist das Problem? Dann schließe ich den Trigger Out vom Oszi an den
> Auslöser Eingang meiner DSLR an und bekomme ein schönes Foto vom
> Oszischirm samt Signalverlauf.

mit 80ms zu spät?
ach -> Auslöseverzögerung die 1Dx sogar bei Vorfocussierung 100ms, die 
1DMk3 60ms

nenene dann doch lieber ein Video vom Schirm aufnehmenhat man zwar auch 
nur ein Bild alle 16ms (bei 60 FPS) aber zumindest hinkt man nicht 
hinterher,

: Bearbeitet durch User
von Gustl B. (-gb-)


Lesenswert?

Und wegen dem Oszilloskop:
Die Dinger kann man sich auch ausleihen. Wenn du das einmalig oder nur 
wenige Male benötigst, dann ist es nicht sinnvoll viel Zeit in die 
Entwicklung eigener Messtechnik zu stecken. Gehe zu einem 
Hacker/Makerspace oder leihe es von einem Distributor.

Anders ist es natürlich wenn der Weg das Zeil ist und du dabei etwas 
lernen möchtest, dann mach das! Aber: Die Platine mit dem ADC die du 
hast ist ohne Modifikation vermutlich kaum zu gebrauchen wenn du DC 
messen willst.

@Joachim B.:
So ein alter Leuchtschirm leuchtet locker 60ms nach. Ausserdem kommt der 
Trigger ja zu Beginn des Signalverlaufs.
Aber ja, Video ist vermutlich auch gut geeignet. Aber egal wie, es gibt 
einfachere Möglichkeiten als seine eigene Messhardware zu bauen.

: Bearbeitet durch User
von New C. (wunderlampe)


Lesenswert?

Gustl B. schrieb:
> Mit dem ADC Eingang verbinden geht, aber mit dem Eingang auf der Platine
> verbinden ohne Modifikation der Platine macht vielleicht keinen Sinn
> weil das wie oben geschrieben AC gekoppelt ist. Wenn dich DC
> interessiert musst du auf der Platine etwas umbauen. Die Platine hat
> aber keine differentiellen Eingänge, also kannst du einen der ADC
> Eingänge auf V_CM legen und den anderen direkt mit dem Platineneingang
> verbinden.
>
> Also C23 wegnehmen, C28 durch 0 Ohm ersetzen, R9 weg, T2 weg und die
> Pins 3 und 4 von T2 verbinden.
> Dann hast du zwar nur noch die halbe differentielle Spanne, am
> Platinenanschluss, aber es ist DC gekoppelt. Jetzt musst du extern das
> Signal noch so anpassen, dass es im Bereich des ADCs liegt.
>
> Alternativ machst du einen besseren Umbau und setzt auf die Platine
> einen volldifferentiellen Verstärker. Ist aber aufwändiger aber auch
> deutlich besser. Einen Spannungsteiler brauchst du aber trotzdem noch.

Ich werde alles tun, damit es gut funktioniert.
Ich habe noch weiteren selben ADC 2x Stück Lose mit passenden 
adapterplatine(als spätere alternative).

Darf ich Sie höfflich bitten, "volldifferentiellen Verstärker" Umbau und 
Spannungsteiler näher zu erklären, das würde ich gerne umsetzen.
"Falls notwendig wird", können Sie auch etwaige Skizzen mir posten über 
p1@temra.eu (ich will nur Ihre Hilfe sichern, weil ich weiß nicht genau 
was und wie große Files im Forum veröffentlichen werden darf :-) ).

Das Board hat 4 Eingänge eine davon werde ich auf jeden Fall auch 
Umbauen wie Sie als erstes beschrieben haben

: Bearbeitet durch User
von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Companion Board: Kintex Board
https://www.digikey.com/products/en?mpart=EK-K7-KC705-G&vendor=122
$1,917.57
Bei dem Preis würde ich vielleicht doch ein paar Schutzmaßnahmen 
vornehmen

von Peter D. (peda)


Lesenswert?

Ein hoher Anteil der Kosten eines Oszis macht der breitbandige 
Eingangsverstärker und Teiler aus. Diese Dinger sind handwerkliche 
Kunst.
Dafür kann man nicht so einfach mal eben einen Schaltplan und Layout 
entwerfen. Geschweige denn, daß ein Laie sowas funktionstüchtig 
nachbauen kann.

von Gustl B. (-gb-)


Lesenswert?

Schreib erstmal welcher Frequenzbereich für dich interessant ist. Ist 
Gleichspannung wichtig?

Datenblatt Seite 19:
[quote]
The analog inputs are differential CMOS sample-and holdcircuits (Figure 
2). The inputs must be driven differentiallyaround a  common mode 
voltage set by the VCM  outputpin, which is   nominally 0.8V. For the 
1.5V input range, theinputs should swing from VCM – 0.375V to VCM + 
0.375V. There should be 180° phase difference between the inputs.
[/quote]

Damit das eingehalten wird kannst du entweder die Eingänge AC-Koppeln 
und am Transformator mit VCM beaufschlagen. So ist das auf der Platine 
gemacht und hat den Vorteil, dass es einfach zu bauen ist, für die 
meisten Anwendungen passt, aber den Nachteil, dass man damit keine 
Gleichspannung messen kann. Irgendwo wird im unteren Frequenzbereich 
abgeschnitten, wo genau weiß ich jetzt nicht, kannst du aber mit den 
Werte aus dem Datenblatt simulieren/errechnen.

Die andere Möglichkeit ist ein Fully-differential-amplifier. Sowas wie 
der AD8138 https://www.analog.com/en/products/ad8138.html . Da sind im 
Datenblatt auch schöne Schaltbilder drinnen die du nur so basteln musst. 
Dazu hilfreich ist der Diffampcalc um die passenden Werte zu berechnen:
https://www.analog.com/en/design-center/interactive-design-tools/adi-diffampcalc.html
Im Prinzip musst du für diese Möglichkeit alles von den ADC Eingängen 
entfernen oder zumindest abtrennen. Und dann vor die ADC Eingänge (das 
sind die vom Chip, nicht die der Platine) den Differenzverstärker 
hinbasteln. Die Platine hat auch einige Spannungen die du zur Versorgung 
verwenden kannst. Aber: Eine so hingebastelte Schaltung ist vermutlich 
nicht so gut wie wenn du da eine passende Platine kaufen würdest oder 
selber eine baust.

Christoph db1uq K. schrieb:
> Bei dem Preis würde ich vielleicht doch ein paar Schutzmaßnahmen
> vornehmen

Jap, stimmt.

Peter D. schrieb:
> Dafür kann man nicht so einfach mal eben einen Schaltplan und Layout
> entwerfen. Geschweige denn, daß ein Laie sowas funktionstüchtig
> nachbauen kann.

Na gut, hier soll ja kein Oszifrontend für einen mehrere GSample ADC 
gebaut werden, sondern eines für einen 250 MSample ADC. Klar, ist auch 
anspruchsvoll und wird wenn man es nur schnell hinbastelt sicher nicht 
so gut wie wenn man da was passendes kauft. Aber mit "Hinbasteln" sollte 
man trotzdem eine funktionierende Lösung bekommen. Bisher ist ja auch 
komplett unklar wie genau er mit welcher Zeitauflösung messen will. Wenn 
8 Bits reichen, dann schafft man die wohl auch mit Hinbasteln.

: Bearbeitet durch User
von Peter D. (peda)


Lesenswert?

New C. schrieb:
> Mein billiges Oszi kann nicht mehr speichern als 20K, und das ergibt
> überhaupt kein richtiges Verlauf, deshalb leider keine richtiges Lösung.

Mein altes TDS350 hat sogar nur 8kB und trotzdem konnte ich damit sehr 
gut messen.
Man muß sich halt vorher Gedanken machen, was man messen will und wie 
man darauf triggern kann.
Wenn Du nicht weißt, was Du auf dem DC des Generators messen willst, 
dann nützen Dir auch keine MB Speichertiefe.

von Al3ko -. (al3ko)


Lesenswert?

Klaus R. schrieb:
> Dann hol Dir LTspice und simuliere bevor Du lötest.

Nein!

von Peter D. (peda)


Lesenswert?

Gustl B. schrieb:
> Na gut, hier soll ja kein Oszifrontend für einen mehrere GSample ADC
> gebaut werden, sondern eines für einen 250 MSample ADC.

Für den Laien sind schon 1MHz eine Herausforderung.
Ältere Oszis konnten nur bis 10..50MHz. Alles darüber ist Feinmechanik.

Mir ist auch nicht klar, was für HF man auf DC überhaupt messen will.
Sollen die Regelschwingungen gemessen werden, ist eine Auflösung von 1ms 
dicke ausreichend.

von Udo S. (urschmitt)


Lesenswert?

New C. schrieb:
> Darf ich Sie höfflich bitten, "volldifferentiellen Verstärker" Umbau und
> Spannungsteiler näher zu erklären,

So wird das nicht funktionieren. Du kannst auch kein Getriebe bauen 
solange du nicht weisst was ein Zahn oder Riemenrad und was eine Welle 
ist.
Genausowenig wirst du in der Lage sein einen frequenzkompensierten 
Verstärker / Abschwächer für 1-250V zu bauen mit einer Bandbreite von DC 
bis 125MHz wenn du nicht weisst was ein Spannungsteiler ist.

Fange von vorne an und lerne die Grundlagen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.