Hallo,
ich bin ganz neu in der FPGA Welt. Wahrscheinlich mache ich einen
totalen Anfängerfehler, nur hab ich meinen Fehler auch nach einer Woche
intensiver Suche nicht verstanden. Deswegen schreibe ich grade diesen
Beitrag (das erste mal, dass ich etwas in ein Forum schreibe -
aufregend!).
Ich möchte als erstes Projekt einen Morse Decoder bauen, dazu verwende
ich ein Altera DE2-115 Board. Mein aktueller Stand ist so, dass ich mit
einem Taster morsen kann und die Buchstaben auf dem LCD erscheinen.
Als nächstes würde ich gerne einen Morse-Trainer programmieren. Das
funktioniert leider nicht so wie geplant.
Die Idee ist, dass ich nacheinander die Buchstaben des Alphabet morse.
Durch zwei LED´s (Grün und Rot) wird angezeigt ob meine Eingabe richtig
oder falsch war. Das Ergebnis muss mit einem Taster quittiert werden
bevor man die nächste Eingabe macht. Auf dem Bord passiert leider nichts
davon.
Bei der Simulation in ModelSim ist der Verhalten genau wie geplant.
Screenshot im Anahng.
Mein Code ist im Anhang, Regel 5: "Längeren Sourcecode nicht im Text
einfügen, sondern als Dateianhang"
Diesen Eingang muss ich kurz erklären.
1 | Morse_Array : in std_logic_vector(9 downto 0);
|
Der ist immer "0000000000" es seiden der Nutzer hat einen Buchstaben
gemorst, dann wird der Eingang für zwei Takte einer 15 Hz Clock zu dem
gemorsten Buchstaben. Danach wieder zu "0000000000".
Ich wäre sehr dankbar falls sich jemand die Zeit nimmt mir zu Helfen!
Viele Grüße
Steffen