Forum: FPGA, VHDL & Co. Spartan-3 Starter Kit / PROM


von Steffen (Gast)


Lesenswert?

Hallo, vll. kann mir hier ja jemand helfen.

Habe mir das Spartan-3 Starter Kit zugelegt, und bin auch ziemlich
zufrieden damit. Meine ersten Implementierungen habe ich zum laufen
gebracht und alles soweit io. Nun wollte ich mal ein Programm in den
PROM laden und habe ein mcs-File (mit iMPACT) erzeugt. Doch leider
funzt das nicht, "Load failed" und Abbruch. Orginalprogramm von dem
Kit, was man bei Xilinx runterladen kann, das gleiche Problem. Muss man
auf dem Board für die PROM Programmierung irgendwelche Jumper umsetzen?
Soweit ich erlesen habe nicht. Bei mir sind die Jumper bei M0,M1, und
M3, sowie JP1 auf default gesetzt. Vll. ist ja mal jemand so nett, und
kann mir das mal erklären. Auch im Internet habe ich dazu keine
Hinweise gefunden, gebe aber nicht auf.

Also, Gruß Steffen

von Daniel R. (daniel_r)


Lesenswert?

Jumper sind vom Werk schon richtig gesetzt.
Probiers einfach noch ein paar mal(oder schalte evtl. störende Geräte
wie Bildschirm mal aus).


Daniel

von Steffen (Gast)


Lesenswert?

Habe keinen Bildschirm am VGA Port des Boards.

von Daniel R. (daniel_r)


Lesenswert?

Nein, ich meine den Bildschirm an dem Du sitzt(der an Deinem PC hängt).
Zwangsläufig musst Du ja wohl einen Bildschirm haben, um sehen zu
können, was Dein PC macht oder?

Bei mir kommts öfter vor, dass der Bildschirm die Übertragung stört.

Überprüfe doch nochmal alle Einstellungen(welcher FPGA, Speedgrade
usw...).

Daniel

von FPGAküchle (Gast)


Lesenswert?

Meist hat in solchen Fällen das programmierkabel einen Wackler oder
sitzt nicht richtig. Kanns Du die JTAG kette initialisieren und z.B die
bausteinkennung auslesen?

von Steffen (Gast)


Lesenswert?

Hallo,

hab das Board an meinem Notebook hängen. Einstellungen hauen alle hin,
und normale Programmierung des FPGA funzt ja auch. JTAG Kette
initialisieren und Bausteinkennung auslesen funktioniert auch.

Steffen

von Daniel R. (daniel_r)


Lesenswert?

Hmmm...
Hast Du da vllt. einen USB/Parallel-Umsetzer dran? Vielleicht liegts an
dem.
Sonst fällt mir auch nichts mehr ein.

von FPGAküchle (Gast)


Lesenswert?

Schick mal ausführlicher Fehlerreport, also ca 10 zeilen vor und 5
zeilen nach LOAD failed.

von Steffen (Gast)


Lesenswert?

Hallo, kein USB/Parallel, mein altes Notebook besitzt diese aussterbende
Schnittstelle zum Glück noch. Fehlerreport stelle ich morgen früh rein,
da ich noch auf Arbeit bin und hier in meiner Wochenunterkunft kein
I-Net besitzte.

Gruß Steffen

von Steffen (Gast)


Angehängte Dateien:

Lesenswert?

Moin,

hier mal die log Datei. Bin wie folgt vorgegangen, iMPACT gestartet,
Chain initialisiert, den FPGA als Bypass gewählt und in das PROM die
das Originalprogramm für das Board von Xilinx. Dann versucht PROM zu
programmieren. Als Fehler wird angegeben : "Programming of User
selected    options failed". Ich habe jedoch an den Optionen nix
geändert, und auf die Programm Options im Menü habe ich gar kein
Zugriff, die sind grau unterlegt (alle Optionen). Löschen, Blank Check,
GetDeviceID, Checksum, ReadUserCode funzt alles.

Gruß Steffen

von FPGAküchle (Gast)


Lesenswert?

Danke fürs Log, folgende Vermutung:
 -Du versuchst den FPGA mit dem File für dem ROM zu laden,
wahrscheinlich den FPGA in der darstellung der JTAG-Kette angeklickt.

Begründung:
-JTAG meldet zwei IC's in der Kette, mit '1' wird der ROM
bezeichnet, mit '2' der FPGA. Siehe Log:

PROGRESS_START - Starting Operation.
Identifying chain contents ....'1': : Manufacturer's ID =Xilinx
xcf02s, Version : 0
INFO:iMPACT:501 - '1': Added Device xcf02s successfully.

----------------------------------------------------------------------
----------------------------------------------------------------------
'2': : Manufacturer's ID =Xilinx xc3s200, Version : 0
INFO:iMPACT:501 - '1': Added Device xc3s200 successfully.

- dem Chipc nummer zwei wird ein mcs-file (ROM Image) zugewiesen:
// *** BATCH CMD : assignFile -p 2 -file
"E:/Xilinx/Spartan_3_Starter_Kit_Reference_Designs/Default_Board_Test_MC 
S_file/S3_starter_board_default_design_revE.mcs"

kritisch ist was "-p" bedeutet, ich schätze es meint den zweiten Chip
in der kette. Das wäre noch zu prüfen.


Überprüfe mal mit anklicken auf die beien chips welches File denen
zugewiesen ist (asigned): FPGA bekommt .bit (oder*.bin (?)), einige
ROM's *.mcs. Für andere ROMS gibt es andere Formate.


--------
Dann kann es natürlich auch das "Verify ohne Mask file problem sein.
-> Verify abstellen oder mask file erzeugen (siehe:
http://wikihost.org/wikis/fpgakueche/programm/gebo.prg?name=ise_impact
)

von FPGAküchle (Gast)


Lesenswert?

So wegen dem schalter -p habe ich hier mal impact getestet, also -p 1
ist das erste in der Kette, -p2 das zweite kette.

Jetzt ist die Frage wo ist der ROM erstes oder zweites? Laut deiner
beschreibung hast du dem ROM das *.mcs zugeweisen. Dann lese ich das
Log falsch ("es irrt der Mensch solang er strebt"). Sollte man aber
mal überprüfen, welches device welches file zugewiesen bekam. Und man
kann ja auch das design direkt in den FPGA laden (*.bit) und mal
schauen ob hier das laden klappt und was das design tut. dann ist
wenigstens die halbe kette überprüft.

von Steffen (Gast)


Lesenswert?

Hallo,

danke erst mal für deine Mühe. Die Chain Kette wird automatisch
initialisiert. FPGA wird als Bypass deklariert und das PROM bekommt das
mcs-File. Ein bit-File zum diesem mcs-File habe ich nicht. Meine eigenen
Designs funzen auf dem FPGA (FPGA -> bit-File, PROM -> Bypass), wenn ich
aber aus diesen wiederum ein mcs-File generiere und es in das PROM laden
möchte, kommt die gleiche Fehlermeldung. Die Verifizierung habe ich auch
schon abgestellt und das PROM wird geladen, laut log-File keine Fehler.
Jedoch läd das FPGA das Programm nicht aus dem PROM, weder per Programm
Button noch nach dem Einschalten des Boards.

        _____       _____
       |      |     |      |
 TDI   |      |     |      |
-------| FPGA |-----| PROM |-------|
       |      |     |      |       |
       |______|     |______|       |
                                   |
                                   |
                                   |
 TDO                               |
-----------------------------------|

Gruß Steffen

von FPGAküchle (Gast)


Lesenswert?

Hm also auf dem jungfräulischen board hat ja das Laden from PROM
geklappt !? Dann sollte wenn nix an der hardware geändert wurde (Jumper
etc) das laden des FPGA's vom ROM immer noch i.O. sein.

Wenn ich recht verstanden habe mosert Impact beim Laden rum. also gibts
da ein problem (fileformet, hardware etc, PROM gestorben). Das impact
zwar sagt, der teilschritt laden hätte geklappt aber dann später
abbricht, heisst IMHO nicht, das das Programmieren des ROMS wirklich
geklappt hat. Stimmen die Angaben bei der MCS-Generierung auch mit dem
benutztten ROM überein?

Dann können auch die Einstellungen vom bitgen falsch sein, halte ich
aber für unwahrscheinlich, weil in diesem Fall läuft impact durch und
bei aufstarten gibts probleme. Da hilft es oft nach dem ROM
Programmieren das Programmierkabel von Board zu trennen oder am board
zu belassen -> Ausprobieren.

Kannst du den PROM auslesen? Oft hilft ein vergleich mit den Hexeditor
um Ladefehler zu finden.

Hm, da muss ich noch etwas drüber grübeln.

von TheMason (Gast)


Lesenswert?

@steffen

hast du beim erstellen des mcs-files mittels impact den chip auf auto
gestellt ?
also erst generate prom-file -> Chip : auto.
sonst würde ich sagen hast du entweder was in den optionen des
"generate prom file" falsch gesetzt, oder deine jumper stehen nicht
richtig.
ansonsten hätte ich auch keine idee ...

gruß
rene

von Steffen (Gast)


Lesenswert?

Naja, aber das File is doch das Original von der Xilinx Homepage und das
muss doch hinhauen.
http://www.xilinx.com/products/boards/DO-SPAR3-DK/reference_designs.htm
PROM auslesen funktioniert nicht. Und PROM gestorben kann ich mir nicht
vorstellen. Zwar betreibe ich deas gesamte Board z.Z. an 6V anstatt an
5V, jedoch werden alle Bauteile auf dem Board über den ersten
Linearregler LM1086 gespeist und dieser gibt konstant 3,3V raus. Jumper
sitzen wie besprochen auch richtig. Leider kann ich manch von euch
vorgeschlagenen Sachen erst wieder am Montag Abend ausprobiern, da ich
übers We in die Heimat fahr. Ich melde mich dann am Dienstag wieder.

Gruß und schönes we,
Steffen

von yalu (Gast)


Lesenswert?

2Steffen

Das sieht nach einem fehlenden Service-Pack für ISE aus.
Den aktuellen SP3 für ISE 8.1i kann man bei Xilinx saugen.

Ich hatte das gleiche Problem mit meinem Spartan-3E-Starter-
Kit. Auf Grund irgendeines Software-Bugs wird das Plattform-
Flash nur lückenhaft gefüllt, was beim anschließenden
Verify zu Gemecker führt.

yalu

von Steffen (Gast)


Lesenswert?

Hallo yalu,

das Service Pack habe ich in der Tat noch nicht aufgespielt, bin gerad
dabei. Bin davon ausgegangen, das das Webpack up to date is, naja. Kann
das ganze dann, wie gesagt, erst Montag Abend ausprobieren.

Bis dahin, Gruß Steffen

von Steffen (Gast)


Lesenswert?

Moin,

also nach dem SP3 funzt es jetzt super. Eigene Files in das PROM
schreiben kein Problem. Das Original für das Board funzt jedoch nur
ohne Verifizierung. Naja, auch nicht weiter wild. Vielen Dank an alle
für ihre Hilfe.

Gruß Steffen

von FPGAküchle (Gast)


Lesenswert?

Guter Tip die servicepacks sind wohl wichtiger als Xilinx das zugibt.

Wie im vorigen Posting beschrieben fehlen Dir wahrscheinlich die
Mask-Dateien für die Orginal Xilinx Evalboard Images. Nur mit diesen
funzt verify. Falls Xilinx die nicht mitliefert, kann man sich diese
aus dem Bitfile generieren (bitgen). Hoffen wir mal das die Bitfiles
dem board mit bei liegen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.