Forum: FPGA, VHDL & Co. VHDPlus IDE Update


von Leon B. (leonbeier)


Lesenswert?

Hi,

ich hatte damals einen Beitrag zu unserer IDE und Programmiersprache 
erstellt: Beitrag "Neue IDE und Programmiersprache zur FPGA Programmierung"

Ich wollte mal ein Update zu dem Projekt machen um vielleicht noch ein 
paar Leute zu erreichen denen das ganze noch nicht ausgereift genug war.

1. Wir unterstützen jetzt VHDL mit live Errors, Vervollständigung und 
GHDL als Simulator: 
https://www.youtube.com/watch?v=maYOYYNtw2U&t=49s&ab_channel=VHDPlusLearning

2. Es gibt jetzt einen NIOS Editor sodass man einfach die benötigte 
Peripherie anklickt und dann in der IDE den Prozessor mit NIOSDuino und 
Arduino Bibliotheken programmieren kann. (Oder halt mit C++)

3. Es gibt jetzt Git Support

4. Im Dezember werden wir anfangen unsere eigene Hardware anzubieten
https://www.youtube.com/watch?v=uoBntD9s-6M&t=1s&ab_channel=VHDPlusLearning

Und ihr könnt gerne mal auf der Website den Vergleich von unserer 
Sprache mit Arduino und VHDL angucken: 
https://vhdplus.com/docs/getstarted/comparison

Hoffe euch gefällt unser Projekt und wenn ihr schon ein 
Entwicklungsboard mit Intel FPGA habt könnt ihr es gerne mal testen :)

Für Anregungen und Kritik stehen wir natürlich offen

von Mr M. (racecobra)


Lesenswert?

Lebt das Projekt noch?

von Olf (Gast)


Lesenswert?

Wahrscheinlich haben die Macher irgendwann ihr Studium abgeschlossen und 
es wird nicht mehr gepflegt, wie bei allen anderen diesen Projekten.

von Samuel C. (neoexacun)


Lesenswert?

Was verleitet euch denn zu der Annahme, es wäre tot?

Die Website ist gut in Schuss, es gibt einigermaßen aktuelle 
Blog-Einträge und die Git-Repos werden bespielt. In anderen Threads gibt 
es auch aktive Diskussionen zum Projekt.

von Mr M (Gast)


Lesenswert?

In welchen Threads?

Es gibt keine Updates, keine Tutorials, usw

von Samuel C. (neoexacun)


Lesenswert?


Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.