Forum: Mikrocontroller und Digitale Elektronik Ausgänge bei 3.2V


von S. L. (goldencue)


Angehängte Dateien:

Lesenswert?

Hallo Zusammen.

Ich habe folgend aufgeführte Schaltung und dabei einen "Effekt" den ich 
nicht einordnen kann.

Was auch immer diese Schaltung macht spielt hier erstmal keine Rolle. An 
allen relevanten Ausgängen ( SPI, ULN2003, STATUS LED, Jumper ) und 
teilweise an den Sensoreingängen habe ich eine Spannung ausgehend vom 
Atmega8 von 3,1-3,3V.

Alle Spannungsversorgungspins wie Vcc, Reset, VTG am SPI haben 5.0V 
anliegen.

Da diese Schaltung am ULN2003 mit 3.3V nicht funktioniert ( er schaltet 
nicht zuverlässig durch ) frage ich mich...woher bezieht der Atmega die 
Info 3.3V an seine Ausgänge zu legen und nicht 5V?

Der Atmega lässt sich sauber flashen und toggelt an seinen Pins auch wie 
er soll.


Vielleicht hat von euch einer eine Idee? Ich hatte das in 15Jahren 
nicht.

Mit großem Dank für eure Hilfe

von Michael B. (laberkopp)


Lesenswert?

Eine Status-LED an 12V ist schon mal Murks.
AVCC sollte man vielleicht anschliessen.

von Stefan F. (Gast)


Lesenswert?

Der Spannungsregler hat falsche Kondensatoren (siehe Datenblatt)

> teilweise an den Sensoreingängen habe ich eine Spannung
> ausgehend vom Atmega8 von 3,1-3,3V

Meinst du die drei optischen Teile rechts oben? Die sollten doch mit 
EINGÄNGEN des AVR verbunden sein. Wie kommst du darauf, dass dort vom 
AVR 3,1V ausgehen? Und was hat das mit dem ULN2003 Chip zu tun?

Oder meinst du, dass die Ausgänge des AVR die zum ULN2003 gehen nur 3,1 
Volt ausgeben? Dann hast du eventuell vergessen, diese Pins als Ausgang 
zu konfigurieren, so dass stattdessen die internen Pull-Up Widerstände 
im AVR wirken.

Hängt die Status LED tatsächlich an 12V? Dann würde der AVR 10V an 
diesem Pin ab bekommen. Möglicherweise wurde er dadurch schon zerstört.

von Karadur (Gast)


Lesenswert?

Einleitung didaktisch schon mal gut. "Geht euch nix an"

LED an 12V !

Ausgänge als Ausgänge definiert?

von Martin V. (oldmax)


Lesenswert?

Hi
Nun, dir scheint nicht klar zu sein, das du die Ports parametrieren 
mußt. Daher wäre es schon wichtig zu wissen, was du an Programm 
geschrieben hast. So ein großes Geheimnis ist das ja nun auch nicht. 
Falls du Bedenken hast, es könnte etwas abgekupfert werden, sei 
beruhigt, die User hier sind zu 90 % selbst in der LAge, Programme zu 
erstellen, wesentlich besser, wie du nehme ich mal so an. Vermutlich 
sind die Portpins noch auf Eingang geschaltet und du versuchst mit einer 
Portzuweisung ein Signal nach außen zu schalten, erwischt dabei aber nur 
die Pull-Up-Widerstände.
Gruß oldmax

von Oliver S. (oliverso)


Lesenswert?

Den Pin AVCC gibt es nicht nur, weil Atmel da zufällig noch einen frei 
hatte.

Oliver

von S. L. (goldencue)


Lesenswert?

Karadur schrieb:
> Einleitung didaktisch schon mal gut. "Geht euch nix an"

Das ist nicht negativ gemeint. Ich wollte nur eine Diskussion über den 
Sinn der Schaltung vermeiden.

von Karadur (Gast)


Lesenswert?

Motiviert aber nicht gerade.

Besser weg lassen.

von S. L. (goldencue)


Lesenswert?

Martin V. schrieb:
> Hi
> Nun, dir scheint nicht klar zu sein, das du die Ports parametrieren
> mußt. Daher wäre es schon wichtig zu wissen, was du an Programm
> geschrieben hast. So ein großes Geheimnis ist das ja nun auch nicht.
> Falls du Bedenken hast, es könnte etwas abgekupfert werden, sei
> beruhigt, die User hier sind zu 90 % selbst in der LAge, Programme zu
> erstellen, wesentlich besser, wie du nehme ich mal so an. Vermutlich
> sind die Portpins noch auf Eingang geschaltet und du versuchst mit einer
> Portzuweisung ein Signal nach außen zu schalten, erwischt dabei aber nur
> die Pull-Up-Widerstände.

Woher nimmst du die Annahme ich hätte wenig Ahnung vom 
Softwareentwickeln? Ich mache das beruflich und das mit Sicherheit 
besser als viele hier. Also bitte.Es gibt immer bessere. Aber ich habe 
tausende davon programmiert bisher.

Die Ausgänge sind richtig programmiert und was soll ich an 
Ausgangsbeschaltung hier posten? DDRD |= (1<<PD7);PORTD &= ~(1<<PD4);?

Also das ist alles getan.

: Bearbeitet durch User
von Jim M. (turboj)


Lesenswert?

S. L. schrieb:
> Ausgangsbeschaltung hier posten? DDRD |= (1<<PD7);PORTD &= ~(1<<PD4);?

Dieses Code Fragment würde PD4 nicht als Ausgang beschalten.

Wenn das ein aussagekräftiges Beispiel für den Rest des Codes ist, dann 
wundert micht nicht dass die Schaltung nicht funktioniert.

von S. L. (goldencue)


Lesenswert?

Karadur schrieb:
> LED an 12V !

sie ist physisch noch nicht angeschlossen.

von S. L. (goldencue)


Lesenswert?

Jim M. schrieb:
> Dieses Code Fragment würde PD4 nicht als Ausgang beschalten.
>
> Wenn das ein aussagekräftiges Beispiel für den Rest des Codes ist, dann
> wundert micht nicht dass die Schaltung nicht funktioniert.

Ja sry Schreibfehler!

Hast du was produktives im Angebot?

von Stefan S. (chiefeinherjar)


Lesenswert?

S. L. schrieb:
> Woher nimmst du die Annahme ich hätte wenig Ahnung vom
> Softwareentwickeln? Ich mache das beruflich und das mit Sicherheit
> besser als viele hier. Also bitte.

S. L. schrieb:
> DDRD |= (1<<PD7);PORTD &= ~(1<<PD4);?

Merkste selber, oder?


Und zu deinem ursprünglichen Beitrag:

S. L. schrieb:
> Da diese Schaltung am ULN2003 mit 3.3V nicht funktioniert ( er schaltet
> nicht zuverlässig durch ) frage ich mich...woher bezieht der Atmega die
> Info 3.3V an seine Ausgänge zu legen und nicht 5V?

Vielleicht an dem fehlerhaften Programm? Vielleicht, weil du (un)gewollt 
Pullups aktiviert hast? Niemand weiß es - außer dir.

von S. L. (goldencue)


Lesenswert?

S. L. schrieb:
> Ja sry Schreibfehler!

Also DDRD |= (1<<PD4);PORTD &= ~(1<<PD4); wäre korrekt und auch in 
Elementarform ohne Platzhalter.

von S. L. (goldencue)


Lesenswert?

Stefan S. schrieb:
> Vielleicht an dem fehlerhaften Programm? Vielleicht, weil du (un)gewollt
> Pullups aktiviert hast? Niemand weiß es - außer dir.

Ich lasse im Mom ausschließlich PD4 toggeln und habe alle anderen Pins 
ohne Funktion initialisiert nach Aus,- oder Eingängen.

von Martin V. (oldmax)


Lesenswert?

Hi
Nun sei mal nicht gleich beleidigt, nur wenn du schreibst, das dein 
eAusgänge nur etwas über 3 V liegen und der ULN nicht durchschaltet, 
kann bei fehlendem Programm nur vermutet werden. Das du Programmieren 
kannst, weiß doch 500km entfernt niemand. Es war halt nur eine Vermutung 
und leider ist es so, das es Anfänger gibt, die noch glauben, eine 
eierlegende Wollmilchsau erfunden zu haben und aus Angst, das könnte 
gekupfert werden, eben nicht den Code einstellen. Also sorry, wenn ich 
dein Ego gekränkt habe.
Zu deinem Problem mal ganz vorsichtig nachgefragt, könnte es sein, das 
deine Ausgänge "flackern", z.b. durch einen unsauberen oder fehlerhaften 
Interrupt, vorzeitigem programmabruch mit Neustart...?
gruß oldmax

von S. L. (goldencue)


Lesenswert?

[0]
void inits(){

//Status_LED

  STATUS_DDR |= (1<<STATUS_LED_PIN);
  STATUS_PORT |= (1<<STATUS_LED_PIN);

//Stepper Outputs

  STEPPER_DDR |= (1<<STEPPER_Q1_PIN) | (1<<STEPPER_Q2_PIN) | 
(1<<STEPPER_Q3_PIN) | (1<<STEPPER_Q4_PIN);
  STEPPER_PORT &= ~(1<<STEPPER_Q1_PIN) | (1<<STEPPER_Q2_PIN) | 
(1<<STEPPER_Q3_PIN) | (1<<STEPPER_Q4_PIN);

//Speed Jumper Inputs

  SPEED_JUMBER_DDR &= ~(1<<SPEED_JUMBER_PIN1); 
//Detektieren per Jumber von SPEED_JUMBER
  SPEED_JUMBER_DDR |= (1<<SPEED_JUMBER_PIN2);
  SPEED_JUMBER_DDR &= ~(1<<SPEED_JUMBER_PIN3);

  SPEED_JUMBER_PORT |= (1<<SPEED_JUMBER_PIN1);
  SPEED_JUMBER_PORT &= ~(1<<SPEED_JUMBER_PIN2);
  SPEED_JUMBER_PORT |= (1<<SPEED_JUMBER_PIN3);

//Pause Jumper Inputs

  PAUSE_JUMBER_DDR &= ~(1<<PAUSE_JUMBER_PIN1); 
//Detektieren per Jumber von PAUSE_JUMBER
  PAUSE_JUMBER_DDR |= (1<<PAUSE_JUMBER_PIN2);
  PAUSE_JUMBER_DDR &= ~(1<<PAUSE_JUMBER_PIN3);

  PAUSE_JUMBER_PORT |= (1<<PAUSE_JUMBER_PIN1);
  PAUSE_JUMBER_PORT &= ~(1<<PAUSE_JUMBER_PIN2);
  PAUSE_JUMBER_PORT |= (1<<PAUSE_JUMBER_PIN3);

// INT0/1

  INT_DDR &= ~(1<<SENSE_LEFT_PIN);
  INT_DDR &= ~(1<<SENSE_ALArm_PIN);
  INT_PORT &= ~(1<<SENSE_LEFT_PIN);
  INT_PORT &= ~(1<<SENSE_ALArm_PIN);
  MCUCR &= ~(1<<ISC01);
  MCUCR |= (1<<ISC00);
  MCUCR &= ~(1<<ISC11);
  MCUCR |= (1<<ISC10);
  GICR |= (1<<INT0);
  GICR |= (1<<INT1);

// ICP
TIMSK = 1 << TICIE1;
TCCR1B = ((1 << ICNC1) | (1 << CS10) | (1 << ICNC1));
// Timer0
TCCR0 &= ~(1<<CS01) | (1<<CS02);
TCCR0 |= (1<<CS00);
//TCNT0 = 240;
TIMSK|= (1<<TOIE0);
[/c]

von Wolfgang (Gast)


Lesenswert?

Stefan ⛄ F. schrieb:
> Hängt die Status LED tatsächlich an 12V? Dann würde der AVR 10V an
> diesem Pin ab bekommen.

Wohl kaum. Sobald ein Strom fließt, entsteht an R8 ein Spannungsabfall, 
der die Spannung am PD1 mindert ;-)
Ein Strom wird fließen - ob es den Eingangsschutzdioden Recht ist oder 
nicht. Möglicherweise würde der µC schon alleine damit laufen, ohne dass 
der 7805 noch etwas beitragen muss - großer Murks. Atmel erwähnt in 
einer App. Note für die Dioden einen Wert von 1mA, der fließen darf - 
mehr nicht.

von S. L. (goldencue)


Lesenswert?

Die Schaltung ist nur ein Schrittmotortreiber. Also nichts Aufregendes 
und schon garnicht geheimnisvoll. Eher so "billig" dass eher unrelevant.

von Slipper (Gast)


Lesenswert?

Wenn du die 5V Spannung direkt gemessen hast, und nicht nur annimmst 
dass da 5V anliegen, dann tippe ich auf einen Kurzschluss gegen GND an 
einem als Ausgang geschalteten Pin.

von foobar (Gast)


Lesenswert?

>
1
> STEPPER_PORT &= ~(1<<STEPPER_Q1_PIN) | (1<<STEPPER_Q2_PIN) | (1<<STEPPER_Q3_PIN) | (1<<STEPPER_Q4_PIN);
2
> TCCR0 &= ~(1<<CS01) | (1<<CS02);

Ich denke, da fehlen Klammern ...

> Zu deinem Problem mal ganz vorsichtig nachgefragt, könnte es sein, das
> deine Ausgänge "flackern",

Oder einfach zu schnell togglen und dann mit nem DMM gemessen ...

von Stefan F. (Gast)


Lesenswert?

S. L. schrieb:
> Woher nimmst du die Annahme ich hätte wenig Ahnung vom
> Softwareentwickeln? Ich mache das beruflich und das mit Sicherheit
> besser als viele hier.
> Die Ausgänge sind richtig programmiert

> DDRD |= (1<<PD7);PORTD &= ~(1<<PD4);?

Ja nee, ist klar. Du konfguriert PD7 als Ausgang und schaltest dann bei 
PD4 (der auch ein Ausgang sein müsste) den Pull-Up Widerstand ein.

> Ja sry Schreibfehler!

Klar, glauben wir dir alle.

> void inits() ...
> SPEED_JUMBER

Woher sollen wir die Werte der Konstanten kennen? Und wo wird da nun 
getoggelt? Zeige den ganzen Code oder verabschiede dich von der 
Vorstellung, hier Hilfe zu bekommen.

> STEPPER_PORT &= ~(1<<STEPPER_Q1_PIN) | (1<<STEPPER_Q2_PIN) |
>    (1<<STEPPER_Q3_PIN) | (1<<STEPPER_Q4_PIN);

Das tut mit Sicherheit nicht das, was du erwartest. Hier wird 
STEPPER_Q1_PIN auf LOW gesetzt und alle anderen Pins bleiben 
unverändert.

> TCCR0 &= ~(1<<CS01) | (1<<CS02);

Das tut mit Sicherheit nicht das, was du erwartest. Hier wird nur das 
Bit CS01 auf LOW gesetzt und alle anderen Bits bleiben unverändert.

Hochmut kommt vor dem Fall.

von Matthias S. (Firma: matzetronics) (mschoeldgen)


Lesenswert?

Nun? AVCC endlich angeschlossen?

von S. L. (goldencue)


Lesenswert?

um mal weniger relevantes auszublenden bin ich dankbar für die 
Vorschläge AVCC zu beschalten, eventuell störende Interupts 
auszuschließen und einen Kriechstrom Output - GND zu prüfen. Dem werde 
ich nachgehen.
Ein zu schnelles toggeln schließe ich aus. Ich messe mit Oszi und z.B. 
PD4 toggelt ja ordnungsgemäß...nur halt mit 3.3V ( auch genau gemessen )

Die LED und die Sensoren sind nicht installiert. Gemessen verbraucht die 
Schaltung <1mA.

Meine Frage zu konkretisieren ohne Details zum Anwendungsfall zu 
zerpflücken. Wie kann ein solches Verhalten überhaupt auftreten? O.a. 
Vorschläge sind möglich.

Hier meine defs:

[c]
/**************** HILFSDEFINITIONEN ************/

#define STATUS_DDR       DDRD
#define STATUS_PORT       PORTD
#define STATUS_LED_PIN       PD1


#define STEPPER_DDR       DDRD
#define STEPPER_PORT       PORTD
#define STEPPER_Q1_PIN       PD4
#define STEPPER_Q2_PIN       PD7
#define STEPPER_Q3_PIN       PD6
#define STEPPER_Q4_PIN       PD5

#define SPEED_JUMBER_DDR     DDRC
#define SPEED_JUMBER_PORT     PORTC
#define SPEED_JUMBER_PIN1     PINC0
#define SPEED_JUMBER_PIN2     PC1
#define SPEED_JUMBER_PIN3     PINC2

#define PAUSE_JUMBER_DDR     DDRC
#define PAUSE_JUMBER_PORT     PORTC
#define PAUSE_JUMBER_PIN1     PINC3
#define PAUSE_JUMBER_PIN2     PC4
#define PAUSE_JUMBER_PIN3     PINC5

#define INT_DDR        DDRD
#define INT_PORT      PORTD
#define SENSE_LEFT_PIN      PIND2
#define SENSE_ALArm_PIN      PIND3

von Manfred (Gast)


Lesenswert?

S. L. schrieb:
> Woher nimmst du die Annahme ich hätte wenig Ahnung vom
> Softwareentwickeln? Ich mache das beruflich und das mit Sicherheit
> besser als viele hier.

Immer schön hoch die Nase, Hilfe, meine Schaltung spielt nicht, aber ich 
kann besser als viele hier.

So wird das nichts, das ist kein Umgangston.

S. L. schrieb:
> Die Schaltung ist nur ein Schrittmotortreiber. Also nichts Aufregendes
> und schon garnicht geheimnisvoll. Eher so "billig" dass eher unrelevant.

Die Schaltung ist an mehreren Stellen blödsinnig, aber das ist aufgrund 
Deines Auftretens "eher unrelevant".

von Achim S. (Gast)


Lesenswert?

S. L. schrieb:
> woher bezieht der Atmega die
> Info 3.3V an seine Ausgänge zu legen und nicht 5V?

nirgendwo her. Wenn die 5V Versorgung steht und die Programmierung 
richtig ist, dann versucht er auch 5V zu treiben.

S. L. schrieb:
> Wie kann ein solches Verhalten überhaupt auftreten?

Wenn trotzdem nur 3,3V rauskommen
- ist entweder die Versorgung nicht bei 5V
- oder die Programmierung ist fehlerhaft
- oder die Ausgänge werden überlastet
- oder deine Messungen stimmen nicht.

S. L. schrieb:
> Gemessen verbraucht die
> Schaltung <1mA.

das spricht gegen überlastete Ausgänge. Dann fallen mir nur die drei 
anderen möglichen Gründe ein. Da noch nicht beantwortet wurde, ob du die 
stabile 5V Versorgung nur vermutest oder auch wirklich nachgemessen 
hast, würde ich mal damit anfangen.

S. L. schrieb:
> Die LED und die Sensoren sind nicht installiert.

Dass du die LED nicht installieren darfst hast du ja hoffentlich aus dem 
Beitrag von Michael B. mitgenommen.

von S. L. (goldencue)


Lesenswert?

AVCC ist jetzt an VCC. Die ca 3-3.3V bleiben.

Allerdings...ich messe über den USBASP-Programmer eingespeiste Spannung 
( eingestellt sind 5V ) am Ausgang PD4 ein togglen von ca. -3V gegen 
Masse.

Programmer + 12V Spannungsversorgung - am PD4 ein togglen von 1V gegen 
Masse

Nur die 12V Spannungsversorgung - am PD4 ein togglen von 3-3.3V gegen 
Masse.

Ich hatte nun an Potenzialverschleppung gedacht. Nur wenn ich an den 
Eingängen VCC, AVCC, RESET exakte 5V messe schließt sich das eigendlich 
aus.

In der Software habe ich alle OIs bis auf PD4 auf Input mit Pullups 
initialisiert. Der Pin toggelt weiter mit exakt dieses Werten.

Alle IOs haben ca 3.3V anliegen. Kurz nach Einschalten sinkt die 
Spannung der Pins und damit verbundenen Teile von 4.5 in ca 15 Sekunden 
auf 3.3V und stabilisiert sich dann. Über C1 liegen weiter 5V, über C2 
12V und über C3 5V. Also da ist und bleibt alles stabil.

Eine Rückkopplung über den ULN2003 möchte ich ausschließen, da er nur 
mit 4 Pins des AVR verbunden ist und der Atmega auch programmierbar ist 
und ja prinzipiell arbeitet.

Ich bin ratlos

von S. L. (goldencue)


Lesenswert?

Manfred schrieb:
> Die Schaltung ist an mehreren Stellen blödsinnig, aber das ist aufgrund
> Deines Auftretens "eher unrelevant".

danke für den produktiven Beitrag

von S. L. (goldencue)


Lesenswert?

Achim S. schrieb:
> das spricht gegen überlastete Ausgänge. Dann fallen mir nur die drei
> anderen möglichen Gründe ein. Da noch nicht beantwortet wurde, ob du die
> stabile 5V Versorgung nur vermutest oder auch wirklich nachgemessen
> hast, würde ich mal damit anfangen.

Ja. Ich messe das mit exakten Messgeräten. Mir stehen zwei MM, zwei 
Oszis, eine Messbrücke, Datenlogger und auch Frequenzanalyzer zur 
Verfügung.

Achim S. schrieb:
> Dass du die LED nicht installieren darfst hast du ja hoffentlich aus dem
> Beitrag von Michael B. mitgenommen.

Ja ;) ... nur das ist nicht mein Problem

von Stefan F. (Gast)


Lesenswert?

Kontrolliere ob GND überall Null Volt hat.

von S. L. (goldencue)


Lesenswert?

Stefan ⛄ F. schrieb:
> Kontrolliere ob GND überall Null Volt hat.

Stefan Danke! Das war ein wichtiger Hinweis. Teile von GND haben 
Spannung und da wird ein Fehler liegen. Ich werde den Fehler an dem das 
entsteht in Ruhe finden über Ostern und selbstverständlich Bescheid 
geben. Danke!

von Achim S. (Gast)


Lesenswert?

S. L. schrieb:
> Über C1 liegen weiter 5V

muss nicht über die Kondensatoren sondern an den Pins des uC.

S. L. schrieb:
> Ich messe das mit exakten Messgeräten. Mir stehen zwei MM, zwei Oszis,
> eine Messbrücke, Datenlogger und auch Frequenzanalyzer zur Verfügung.

nicht die Menge macht's sondern der richtige Einsatz der Messgeräte. 
kann natürlich sein, dass du einen Wunder-uC hast. sehr viel 
wahrscheinlicher ist aber, dass in einem Aufbau oder in deinen Messungen 
einen Riesenbock hast, der sich aus deinen Schilderungen nicht ablesen 
lässt.

von foobar (Gast)


Lesenswert?

> Ausgang PD4 ein togglen von ca. -3V gegen Masse.
>...
> am PD4 ein togglen von 1V gegen Masse
>...
> Kurz nach Einschalten sinkt die Spannung der Pins und damit verbundenen
> Teile von 4.5 in ca 15 Sekunden auf 3.3V und stabilisiert sich dann.

Dann ist dein Aufbau schrott (GND nicht alle verbunden?) oder du misst 
Mist (Oszi auf AC?).

von S. L. (goldencue)


Lesenswert?

Achim S. schrieb:
> muss nicht über die Kondensatoren sondern an den Pins des uC.

ach

von S. L. (goldencue)


Lesenswert?

Allen produktiven Tipps und Gedanken hier mal meinen großen 
ausdrücklichen  Dank!

von S. L. (goldencue)


Lesenswert?

foobar schrieb:
> oder du misst
> Mist (Oszi auf AC?)

nuja...nach drei Jahren Osziausbildung und 15 Jahren Hardwareentwicklung 
i.V.m. Oszi...eher unwahrscheinlich ;)

von Manfred (Gast)


Lesenswert?

S. L. schrieb:
> danke für den produktiven Beitrag

Ja gerne doch.

S. L. schrieb:
> Ja. Ich messe das mit exakten Messgeräten. Mir stehen zwei MM, zwei
> Oszis, eine Messbrücke, Datenlogger und auch Frequenzanalyzer zur
> Verfügung.

Ich habe nur ein Oszi, keine Meßbrücke und keinen Frequenzanalyzer, 
allerdings kann ich mit meinen Meßmitteln umgehen.

Ich wiederhole:
Manfred schrieb:
> So wird das nichts, das ist kein Umgangston.

> Achim S. schrieb:
>> Dass du die LED nicht installieren darfst hast du ja hoffentlich aus dem
>> Beitrag von Michael B. mitgenommen.
>
> Ja ;) ... nur das ist nicht mein Problem

Stimmt, Dein Problem ist weitaus schwieriger.

Du posaunst hier herum, was Du alles hast und zu können glaubst, aber es 
reicht nicht für einen ordentlichen Schaltplan und eine saubere 
Problembeschreibung.

von S. L. (goldencue)


Lesenswert?

Manfred schrieb:
> Du posaunst hier herum, was Du alles hast und zu können glaubst, aber es
> reicht nicht für einen ordentlichen Schaltplan und eine saubere
> Problembeschreibung.

so produktiv!

von Achim S. (Gast)


Lesenswert?

S. L. schrieb:
> Achim S. schrieb:
>
>> muss nicht über die Kondensatoren sondern an den Pins des uC.
>
> ach

mein Fehler: es sollte heißen "miss .. an den pins des uC" (nicht 
"muss..."). Auf der Handy-Tastatur trifft man manchmal eins daneben. 
hättest du das gemacht, dann hättest du gesehen, das der uC die 5V 
treibt.

ansonsten ist zu deiner Arbeitsweise und deinem Diskussionsstil alles 
Wesentliche schon von anderen geschrieben worden.

von Rainer V. (a_zip)


Lesenswert?

S. L. schrieb:
> Ich hatte nun an Potenzialverschleppung gedacht

Was für ein geiles Wort!
Aber natürlich kein Wunder...

S. L. schrieb:
> nach drei Jahren Osziausbildung

Beste Grüße, Rainer

von Stefan F. (Gast)


Lesenswert?

Jetzt hört doch mal auf, es reicht!

von Dieter D. (Firma: Hobbytheoretiker) (dieter_1234)


Lesenswert?

Mittlerweile hat es den TO erwischt. Nicht der Virus, sondern die GND 
Spannung. Es gibt da noch einige Andere, die verglichen mit hier, 
unerziehbar einzustufen wären.

von Rainer V. (a_zip)


Lesenswert?

Also bitte, der Fachmann beschreibt ein merkwürdiges Phänomen und zeigt 
trotz einschlägiger Ausbildung und vorhandener Meßmittel nicht die Spur 
einer planmäßigen Fehlersuche! Was macht der blutige Laie, wenn sein 
Controller zickt? Er reduziert sich und sein Programm und auch die 
Hardware auf ein sinnvolles Minimum und schaut erst mal, ob wenigstens 
die Led's blinken. Dann kann er sich weitertasten. Von alledem ist beim 
TO nichts zu sehen...statt dessen sinnt er über Potentialverschleppung 
nach...
Sorry, glaube, davon träume ich heute Nacht :-)
Gruß Rainer

von Stefan F. (Gast)


Lesenswert?

Rainer V. schrieb:
> Von alledem ist beim TO nichts zu sehen...
> statt dessen sinnt er über Potentialverschleppung nach...

Er ist längst weiter:

S. L. schrieb:
>> Kontrolliere ob GND überall Null Volt hat.
> Danke! Das war ein wichtiger Hinweis. Teile von GND haben
> Spannung und da wird ein Fehler liegen. Ich werde den Fehler an dem das
> entsteht in Ruhe finden über Ostern und selbstverständlich Bescheid
> geben.

Aber vor lauter Mobbing ist das wohl übersehen worden.

Muss hier wirklich jeder nochmal und nochmal und nochmal nach treten? 
Nein!

von S. L. (goldencue)


Lesenswert?

Alles ganz schlaue Beiträge. In meiner Uni und bei mir als Dozent ( Ja 
ich bin Dozent für Elektrotechnik und Elekronik in der Industrie 
)fliegen die aus dem Hörsaal und können bei Facebook ihren Müll 
ablassen. Ich bat mehrfach um Objektivität und nicht um Unverständnis. 
Ich kann immer alles falsch verstehen. Nur ist das nicht zielführend. 
Keiner von euch Sprücheklopfern hat gezeigt das er es kann. Nur dumme 
Sprüche die leider Gottes den Thread zumüllen, sodass es für engagierte 
Helfer schwer wird die wichtigen Informationen zu sortieren. Seit 15 
Jahren helfe ich hier auch anderen und leider ist das Phänomen fast 
immer. Was denkt ihr euch? Ach...ich habe heut nichts zu tun und da geh 
ich mal los in nen Forum und nerve dort Fachleute die nach pragmatischen 
Lösungen suchen? Ich verstehe es nicht. Muss ich  auch nicht. Also 
bitte! geht zur Seite wenns ums Fach geht.

Hier mal zu allen die produktiv Vorschläge ( und damit meine ich ALLE ) 
lieferten. Großen Dank. Insbesondere an Stefan S.!!!

Ich machte tatsächlich den Fehler immer von einer Stelle aus die 
Potenziale zu messen und dabei nicht die Masse selbst. Erst dein Hinweis 
brachte mich darauf. Und siehe da. Der 7805 sorgte für eine Verschiebung 
des Nullpotenzials. Ich gebe zu dass hätte ich auch finden können. 
Jedoch ist mir das so noch nicht unter gekommen. Dein Tip war also die 
Lösung.

Großen Dank an alle Fachleute und Helfer!

Der Rest soll sich schleichen.

von S. L. (goldencue)


Lesenswert?

Rainer V. schrieb:
> Also bitte, der Fachmann beschreibt ein merkwürdiges Phänomen und zeigt
> trotz einschlägiger Ausbildung und vorhandener Meßmittel nicht die Spur
> einer planmäßigen Fehlersuche! Was macht der blutige Laie, wenn sein
> Controller zickt? Er reduziert sich und sein Programm und auch die
> Hardware auf ein sinnvolles Minimum und schaut erst mal, ob wenigstens
> die Led's blinken. Dann kann er sich weitertasten. Von alledem ist beim
> TO nichts zu sehen...statt dessen sinnt er über Potentialverschleppung
> nach...

Wie Stefan schon erwähnte. Ich war bereits weiter, habe das auch 
beschrieben (lesen!). Und siehe da...es war Potenzialverschleppung. 
Genau das.

von Martin V. (oldmax)


Lesenswert?

Hi
S. L. schrieb:
> Alles ganz schlaue Beiträge. In meiner Uni und bei mir als Dozent ( Ja
> ich bin Dozent für Elektrotechnik und Elekronik in der Industrie
> )fliegen die aus dem Hörsaal und können bei Facebook ihren Müll
> ablassen.
Oh weh, was für ein Ego. Man, mußte das sein? Jetzt ist jeder bestätigt, 
der vorher schon behauptet hat, das du die Erde gar nicht sehen kannst, 
so hoch wie du deine Nase trägst.
Dein Einstieg war äußerst informativ, was den Fehler betraf und jeder 
hätte aber auch gleich auf die Lösung kommen können, das es eben so ein 
verfluchter 7805 war, der dir dein Potential verschleppt hat.
Gruß an die andere Seite vom Monitor

von Rainer V. (a_zip)


Lesenswert?

Ich gratuliere zur erfolgreichen Fehlerfeststellung. Was mich 
umgetrieben hat ist Folgendes: du hast im Eingangspost eine durchaus 
überschaubare Schaltung mit einem merkwürdigen Fehlerbild gezeigt. Nach 
wenigen Beiträgen outest du dich als Fachmann in jeder Hinsicht, um die 
(gelinde gesagt) zweifelnden Rückfragen abzubügeln. Und jedem 
ambitionierten Bastler hätte man schon zehnmal empfohlen, seine 
Spannungen und seine Masseführung zu überprüfen, bei dir hat man das 
blöderweise vorausgesetzt...und dann kommt's doch so trivial. Und ich 
bin auch hier, um zu helfen, wenn ich kann...aber manchmal muß (für mich 
zumindest) etwas Stimmung reingebracht werden...
Gruß Rainer

von S.L. (Gast)


Lesenswert?

Hallo Rainer.

Ich kenne deine Hilfe seit Jahren und habe großen Respekt vor deinem 
Fachwissen. Unbewusst hast du mir auch schon oft geholfen. Niemals würde 
ich dies anzweifeln!

Und was den Fehler betrifft...mir war vorher klar,  dass der Fehler bei 
mir und nicht der Technik lag. Ich analysiere beruflich u.A. 
Stromunfälle und auch da ist es zu 95% der Mensch. Ich stand aber auf 
dem Schlauch, da ich soetwas noch nicht hatte in der Form.

Manchmal benötigt man Kollegen um das offensichtliche zu erkennen 😁👍

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.