Hallo alle zusammen, bin neu hier, also verzeiht mir, wenn ich mein Thread falsch gepostet habe oder sonstiges. -> Mein problem: Ich habe ein Problem: Vivado braucht ewig um ein Projekt zu initialisieren. -> Was ich versucht habe: Ich habe in den Systemanforderungen nachgeschaut und gelesen man braucht eigentlich nur 3 GB RAM und genug Festplattenspeicher. Das habe ich. Ich habe letzte Nacht es mal bis jetzt laufen lassen, weil ich dachte, das dauert vielleicht beim ersten Mal sehr lange. Es ist noch nicht fertig. Beim Unirechner hat es auch gedauert, allerdings im Gegensatz zu meinem Fall nur 5 Minuten... Habe überlegt es neu zu installieren, aber 40 GB, ihr kennt das vielleicht. Desweiteren gegoogled, da finde ich nur, dass die Synthese usw. lange dauert, soweit bin ich nichtmal, aber auch da lese ich Zeiten von ca. 30 min und nicht einer ganzen Nacht. Könnt ihr mir da weiterhelfen? Ich wäre euch so verbunden. :D -> Meine Systemdaten: ------------------ System Information ------------------ Time of this report: 4/18/2022, 11:55:18 Machine name: ******************************************* Machine Id: {1AD58413-C859-4E23-A356-871D55440D9D} Operating System: Windows 10 Pro 64-bit (10.0, Build 19042) (19041.vb_release.191206-1406) Language: German (Regional Setting: German) System Manufacturer: Hewlett-Packard System Model: HP 350 G2 BIOS: F.02 (type: UEFI) Processor: Intel(R) Core(TM) i3-4030U CPU @ 1.90GHz (4 CPUs), ~1.9GHz Memory: 8192MB RAM Available OS Memory: 8100MB RAM Page File: 5577MB used, 4441MB available Windows Dir: C:\WINDOWS DirectX Version: DirectX 12 DX Setup Parameters: Not found User DPI Setting: 96 DPI (100 percent) System DPI Setting: 96 DPI (100 percent) DWM DPI Scaling: Disabled Miracast: Available, with HDCP Microsoft Graphics Hybrid: Not Supported DirectX Database Version: 1.0.8 DxDiag Version: 10.00.19041.0928 64bit Unicode ------------ DxDiag Notes ------------ Display Tab 1: No problems found. Sound Tab 1: No problems found. Input Tab: No problems found. -------------------- DirectX Debug Levels -------------------- Direct3D: 0/4 (retail) DirectDraw: 0/4 (retail) DirectInput: 0/5 (retail) DirectMusic: 0/5 (retail) DirectPlay: 0/9 (retail) DirectSound: 0/5 (retail) DirectShow: 0/6 (retail) --------------- Display Devices --------------- Card name: Intel(R) HD Graphics Family Manufacturer: Intel Corporation Chip type: Intel(R) HD Graphics Family DAC type: Internal Device Type: Full Device (POST) Device Key: **************************************************************** Device Status: 0180200A [DN_DRIVER_LOADED|DN_STARTED|DN_DISABLEABLE|DN_NT_ENUMERATOR|DN_NT_DRIVE R] Device Problem Code: No Problem Driver Problem Code: Unknown Display Memory: 2160 MB Dedicated Memory: 112 MB Shared Memory: 2048 MB Current Mode: 1366 x 768 (32 bit) (60Hz) HDR Support: Not Supported Display Topology: Internal Display Color Space: DXGI_COLOR_SPACE_RGB_FULL_G22_NONE_P709 Color Primaries: Red(0.580078,0.334961), Green(0.330078,0.565430), Blue(0.155273,0.139648), White Point(0.313477,0.329102) Display Luminance: Min Luminance = 0.500000, Max Luminance = 270.000000, MaxFullFrameLuminance = 270.000000 Monitor Name: Generic PnP Monitor Monitor Model: unknown Monitor Id: AUO43EC Native Mode: 1366 x 768(p) (60.016Hz) Output Type: Internal Monitor Capabilities: HDR Not Supported Display Pixel Format: DISPLAYCONFIG_PIXELFORMAT_32BPP Advanced Color: Not Supported Driver Name: igdumdim64.dll,igd10iumd64.dll,igd10iumd64.dll,igd12umd64.dll Driver File Version: 20.19.0015.4531 (English) Driver Version: 20.19.15.4531 DDI Version: 12 Feature Levels: 11_1,11_0,10_1,10_0,9_3,9_2,9_1 Driver Model: WDDM 2.0 Hardware Scheduling: Supported:False Enabled:False Graphics Preemption: Primitive Compute Preemption: Thread group Miracast: Supported Detachable GPU: No Hybrid Graphics GPU: Integrated Power P-states: Not Supported Virtualization: Not Supported Block List: DISABLE_HWSCH Catalog Attributes: N/A Driver Attributes: Final Retail Driver Date/Size: 29.09.2016 02:00:00, 39862848 bytes WHQL Logo'd: Yes WHQL Date Stamp: Unknown Device Identifier: {D7B78E66-4956-11CF-3F62-33A0B7C2D935} Vendor ID: 0x8086 Device ID: 0x0A16 SubSys ID: 0x803C103C Revision ID: 0x000B Driver Strong Name: oem10.inf:5f63e5341cc65b69:iHSWM_w10:20.19.15.4531:pci\ven_8086&dev_0a16 Rank Of Driver: 00D12001 Video Accel: ModeMPEG2_A ModeMPEG2_C ModeWMV9_C ModeVC1_C DXVA2 Modes: DXVA2_ModeMPEG2_VLD DXVA2_ModeMPEG2_IDCT DXVA2_ModeVC1_D2010 {E07EC519-E651-4CD6-AC84-1370CCEEC851} {BCC5DB6D-A2B6-4AF0-ACE4-ADB1F787BC89} DXVA2_ModeWMV9_IDCT DXVA2_ModeVC1_IDCT DXVA2_ModeH264_VLD_NoFGT DXVA2_ModeH264_VLD_Stereo_Progressive_NoFGT DXVA2_ModeH264_VLD_Stereo_NoFGT DXVA2_ModeH264_VLD_Multiview_NoFGT {9B8175D4-D670-4CF2-A9F0-FA56DF71A1AE} {8EFA5926-BD9E-4B04-8B72-8F977DC44C36} {91CD2D6E-897B-4FA1-B0D7-51DC88010E0A} {97688186-56A8-4094-B543-FC9DAAA49F4B} {C346E8A3-CBED-4D27-87CC-A70EB4DC8C27} {FFC79924-5EAF-4666-A736-06190F281443} {F416F7BD-098A-4CF1-A11B-CE54959CA03D} {BF44DACD-217F-4370-A383-D573BC56707E} {D41289C2-ECF3-4EDE-9A04-3BBF9068A629} {2364D06A-F67F-4186-AED0-62B99E1784F1} {8C56EB1E-2B47-466F-8D33-7DBCD63F3DF2} DXVA2_ModeHEVC_VLD_Main {49761BEC-4B63-4349-A5FF-87FFDF088466} Deinterlace Caps: {BF752EF6-8CC4-457A-BE1B-08BD1CAEEE9F}: Format(In/Out)=(YUY2,YUY2) Frames(Prev/Fwd/Back)=(0,0,1) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_EdgeFiltering {335AA36E-7884-43A4-9C91-7F87FAF3E37E}: Format(In/Out)=(YUY2,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_BOBVerticalStretch {5A54A0C9-C7EC-4BD9-8EDE-F3C75DC4393B}: Format(In/Out)=(YUY2,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend {BF752EF6-8CC4-457A-BE1B-08BD1CAEEE9F}: Format(In/Out)=(UYVY,YUY2) Frames(Prev/Fwd/Back)=(0,0,1) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_EdgeFiltering {335AA36E-7884-43A4-9C91-7F87FAF3E37E}: Format(In/Out)=(UYVY,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_BOBVerticalStretch {5A54A0C9-C7EC-4BD9-8EDE-F3C75DC4393B}: Format(In/Out)=(UYVY,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend {BF752EF6-8CC4-457A-BE1B-08BD1CAEEE9F}: Format(In/Out)=(YV12,YUY2) Frames(Prev/Fwd/Back)=(0,0,1) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_EdgeFiltering {335AA36E-7884-43A4-9C91-7F87FAF3E37E}: Format(In/Out)=(YV12,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_BOBVerticalStretch {5A54A0C9-C7EC-4BD9-8EDE-F3C75DC4393B}: Format(In/Out)=(YV12,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend {BF752EF6-8CC4-457A-BE1B-08BD1CAEEE9F}: Format(In/Out)=(NV12,YUY2) Frames(Prev/Fwd/Back)=(0,0,1) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_EdgeFiltering {335AA36E-7884-43A4-9C91-7F87FAF3E37E}: Format(In/Out)=(NV12,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_BOBVerticalStretch {5A54A0C9-C7EC-4BD9-8EDE-F3C75DC4393B}: Format(In/Out)=(NV12,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend {BF752EF6-8CC4-457A-BE1B-08BD1CAEEE9F}: Format(In/Out)=(IMC1,YUY2) Frames(Prev/Fwd/Back)=(0,0,1) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_EdgeFiltering {335AA36E-7884-43A4-9C91-7F87FAF3E37E}: Format(In/Out)=(IMC1,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_BOBVerticalStretch {5A54A0C9-C7EC-4BD9-8EDE-F3C75DC4393B}: Format(In/Out)=(IMC1,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend {BF752EF6-8CC4-457A-BE1B-08BD1CAEEE9F}: Format(In/Out)=(IMC2,YUY2) Frames(Prev/Fwd/Back)=(0,0,1) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_EdgeFiltering {335AA36E-7884-43A4-9C91-7F87FAF3E37E}: Format(In/Out)=(IMC2,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_BOBVerticalStretch {5A54A0C9-C7EC-4BD9-8EDE-F3C75DC4393B}: Format(In/Out)=(IMC2,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend {BF752EF6-8CC4-457A-BE1B-08BD1CAEEE9F}: Format(In/Out)=(IMC3,YUY2) Frames(Prev/Fwd/Back)=(0,0,1) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_EdgeFiltering {335AA36E-7884-43A4-9C91-7F87FAF3E37E}: Format(In/Out)=(IMC3,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_BOBVerticalStretch {5A54A0C9-C7EC-4BD9-8EDE-F3C75DC4393B}: Format(In/Out)=(IMC3,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend {BF752EF6-8CC4-457A-BE1B-08BD1CAEEE9F}: Format(In/Out)=(IMC4,YUY2) Frames(Prev/Fwd/Back)=(0,0,1) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_EdgeFiltering {335AA36E-7884-43A4-9C91-7F87FAF3E37E}: Format(In/Out)=(IMC4,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend DeinterlaceTech_BOBVerticalStretch {5A54A0C9-C7EC-4BD9-8EDE-F3C75DC4393B}: Format(In/Out)=(IMC4,YUY2) Frames(Prev/Fwd/Back)=(0,0,0) Caps=VideoProcess_YUV2RGB VideoProcess_StretchX VideoProcess_StretchY VideoProcess_AlphaBlend D3D9 Overlay: Supported DXVA-HD: Supported DDraw Status: Enabled D3D Status: Enabled AGP Status: Enabled MPO MaxPlanes: 1 MPO Caps: Not Supported MPO Stretch: Not Supported MPO Media Hints: Not Supported MPO Formats: Not Supported PanelFitter Caps: Not Supported PanelFitter Stretch: Not Supported ------------- Sound Devices ------------- Description: Lautsprecher/Kopfhörer (Realtek High Definition Audio) Default Sound Playback: Yes Default Voice Playback: Yes Hardware ID: HDAUDIO\FUNC_01&VEN_10EC&DEV_0282&SUBSYS_103C8009&REV_1000 Manufacturer ID: N/A Product ID: N/A Type: N/A Driver Name: RTKVHD64.sys Driver Version: 6.0.1.7548 (English) Driver Attributes: Final Retail WHQL Logo'd: Yes Date and Size: 30.06.2015 02:00:00, 4515584 bytes Other Files: Driver Provider: Realtek Semiconductor Corp. HW Accel Level: Emulation Only Cap Flags: 0xF1F Min/Max Sample Rate: 100, 200000 Static/Strm HW Mix Bufs: 1, 0 Static/Strm HW 3D Bufs: 0, 0 HW Memory: 0 Voice Management: No EAX(tm) 2.0 Listen/Src: No, No I3DL2(tm) Listen/Src: No, No Sensaura(tm) ZoomFX(tm): No --------------------- Sound Capture Devices --------------------- Description: Mikrofon (Realtek High Definition Audio) Default Sound Capture: Yes Default Voice Capture: Yes Driver Name: RTKVHD64.sys Driver Version: 6.0.1.7548 (English) Driver Attributes: Final Retail Date and Size: 30.06.2015 02:00:00, 4515584 bytes Cap Flags: 0x1 Format Flags: 0xFFFFF --------------------- Video Capture Devices Number of Devices: 1 --------------------- FriendlyName: HP Truevision HD Category: Camera SymbolicLink: \\?\usb#vid_064e&pid_c341&mi_00#7&260e2bb5&0&0000#{e5323777-f976-4f5b-9b 55-b94699c46e44}\global Location: Front Rotation: 0 Manufacturer: Microsoft HardwareID: USB\VID_064E&PID_C341&REV_0101&MI_00,USB\VID_064E&PID_C341&MI_00 DriverDesc: USB Video Device DriverProvider: Microsoft DriverVersion: 10.0.19041.1387 DriverDateEnglish: 6/21/2006 00:00:00 DriverDateLocalized: 21.06.2006 00:00:00 Service: usbvideo Class: Camera DevNodeStatus: 180200A[DN_DRIVER_LOADED|DN_STARTED|DN_DISABLEABLE|DN_NT_ENUMERATOR|DN_N T_DRIVER] ContainerId: {00000000-0000-0000-FFFF-FFFFFFFFFFFF} ProblemCode: No Problem BusReportedDeviceDesc: HP Truevision HD Parent: USB\VID_064E&PID_C341\HF1016-P82A-HM01-2-REV0101 DriverProblemDesc: n/a UpperFilters: n/a LowerFilters: WdmCompanionFilter Stack: \FileSystem\aswSnx,\FileSystem\aswSnx,\Driver\ksthunk,\Driver\usbvideo,\ Driver\ACPI,\Driver\usbccgp ContainerCategory: n/a SensorGroupID: n/a MFT0: n/a DMFT: n/a CustomCaptureSource: n/a DependentStillCapture: n/a EnablePlatformDMFT: n/a DMFTChain: n/a EnableDshowRedirection: n/a FrameServerEnabled: n/a AnalogProviders: n/a ProfileIDs: n/a ------------------------ Disk & DVD/CD-ROM Drives ------------------------ Drive: C: Free Space: 339.3 GB Total Space: 483.7 GB File System: NTFS Model: SanDisk SSD PLUS 1000GB Drive: D: Free Space: 163.4 GB Total Space: 165.0 GB File System: NTFS Model: SanDisk SSD PLUS 1000GB
:
Aus der Erfahrung gibt es zwei Gründe, warum das nicht geht: a) zu lange Dateinamen für das Projekt bzw den Installationsordner mit Xilinx, was bei langen Projektnamen (kürzlich ein Demodesign) dazu führt, dass er es nicht richtig anlegen und verwalten kann. Offenbar läuft da auch eine Suche, bei der XI nach allem sucht, was es im Projektordner finden kann, oder was es denkt, finden zu müssen. Die geht dann schief oder dauert zu lange. Das Xilinx-Startproblem, bei dem es gar nicht erst hoch kommt, hängt auch damit zusammen. b) keine ausreichenden Rechte für C: ! Xilinx legt, egal wo man es installiert, immer auch Pfade an, die Zugriffsrechte auf C verlangen und wenn er dabei irgendwo hingreift, wo man nicht darf, da kein Admin, ist Essig. Die Firma Xilinx schafft es leider nicht 100% sich in ihrer Projekt- und Ordnerverwaltung an das anzupassen, was Microssoft, oder die jeweilige IT-Abteilung sich so an Sicherheitsoptionen vorstellt (und umgekehrt).
Hallo Jürgen. Danke erstmal für deine Antworten. :D Jürgen S. schrieb: > a) zu lange Dateinamen für das Projekt bzw den Installationsordner mit > Xilinx, was bei langen Projektnamen (kürzlich ein Demodesign) dazu > führt, dass er es nicht richtig anlegen und verwalten kann. Offenbar > läuft da auch eine Suche, bei der XI nach allem sucht, was es im > Projektordner finden kann, oder was es denkt, finden zu müssen. Die geht > dann schief oder dauert zu lange. Das Xilinx-Startproblem, bei dem es > gar nicht erst hoch kommt, hängt auch damit zusammen. OKay das ist schonmal gut zu wissen, ich denke jedoch in meinem Fall, spielt das keine rolle. Als Name für das Projekt nahm ich "and2", ich habe eine Source-Datei (VHDL) angelegt die "and2_source" hieß und keine Constraint-Datei angelegt. Dann auf Finish, dann lud es unendlich lange beim Init. oder ist "and2_source" schon zu lange? Die " natürlich bei allen Namensangaben ausgenommen. Jürgen S. schrieb: > b) keine ausreichenden Rechte für C: ! Xilinx legt, egal wo man es > installiert, immer auch Pfade an, die Zugriffsrechte auf C verlangen und > wenn er dabei irgendwo hingreift, wo man nicht darf, da kein Admin, ist > Essig. a) Kann man das easy einstellen? Wenn ja wo und wie? b) Oder sollte ich es einfach gleich auf Linux nutzen?
Die Projektordner, die Vivado anlegt, ergeben von sich aus schon eine enorme Ordnertiefe, mit der ein Default-Windows leicht in Problemfälle gerät. Projekte in "Eigene Dateien" zu speichern kann da schon problematisch sein.
Ich würde als erstes versuchen, Vivado von der Konsole zu starten, dann sollten da ein paar Fehlermeldungen rausfallen...
Beitrag #7038694 wurde von einem Moderator gelöscht.
Schützt die OPAMP-Tiere! schrieb: > Ich würde als erstes versuchen, Vivado von der Konsole zu starten, dann > sollten da ein paar Fehlermeldungen rausfallen... Hallo Schützt die OPAMP-Tiere! (Gast), vielen Dank für dein Feedback. Ich habe es mal in der CMD (als Admin) ausgeführt: -> Bin zum Zielordner der exe (nicht der Verknüpfung) -> Hab eingegeben >start vvgl.exe (da die Verknüpfung darauf verwies und nicht auf die vivado.exe) -> Fehlermeldung kam: "Missing loader argument" Habe das selbe auch mit vivdao.exe versucht: -> Habe auch mal >start vivado.exe eingegeben -> Fehlermeldung kam: "Die Ausführung des Codes kann nicht fortgesetzt werden, dalibrdi_common.dll nicht gefunden wurde. Durch eine Neuinstallation des Programms kann das Problem möglicherweise behoben werden." Habe dazu ein paar Fragen: 1.) Warum gibt es vvgl.exe, worauf die Verknüpfung hinweist, was man also normalerweise immer ausführt? 2.) Was ist dann die vivado.exe, von der ich eigentlich dachte, worauf die Verknüpfung verweisen sollte? 3.) Wenn ich jetzt nur das betrachte, was als Fehlermeldung bei vivado.exe kam: ich würde nur die dll suchen downloaden und in den entsprechenden Ordner packen, da ich die Erfahrung in solchen Situationen gemacht habe, dass eine Neuinstallation nichts bringt, man muss das manuell machen, und bei 40 GB wäre das umso ärgerlicher, dass die Neuinstallation nichts gebracht hat. Was sagt ihr?
:
Bearbeitet durch User
Mit in der Konsole starten meinte man hier sicherlich die ganze GUI weglassen und das Projekt per Vivado batch mode öffnen und bauen. 1. Umgebungsvariable setzen auf das bin Verzeichnis in dem Vivado ist, z.B.: C:\Xilinx\Vivado\2020.1\bin 2. in einer Konsole/Power Shell im Projektordner: vivado -mode tcl 3. Dann in der TCL Konsole:
1 | open_project xxx.xpr (Dein Projekt) |
2 | launch_runs synth_1 |
3 | wait_on_run synth_1 |
4 | launch_runs impl_1 |
5 | wait_on_run impl_1 |
6 | open_project xxx.xpr |
7 | open_checkpoint xxx.runs/impl_1/xxx.dcp |
8 | write_bitstream -force -bin xxx.bit |
Da spuckt Vivado viel aus.
Beitrag #7039781 wurde von einem Moderator gelöscht.
Beitrag #7040350 wurde von einem Moderator gelöscht.
Beitrag #7040478 wurde von einem Moderator gelöscht.
Hallo Andy... Bei mir genau das gleiche Problem... Ewig durfte ich per taskmanager das Prog beenden... Ich hab keine Ahnung, warum es nun klappt. Ich hab direkt nach dem Start links Tasks -> Manage Ip -> New IP Location gestartet und hab das mit den Voreinstellungen einmal gefinished... Seitdem erstellt er mir Projekte innerhalb von 5 Sekunden ;) Einen Versuch wäre es für Dich vielleich auch Wert...hoffe es klappt LG
Vergiss, was ich oben schrieb... Bei mir hat das Ändern des Syntaxcheckers schließlich den Erfolg gebracht. Tools -> Settings -> Text Editor -> Syntax Checking Sagasi austauschen gegen den Vivado Checker...
Beitrag #7047239 wurde von einem Moderator gelöscht.
Beitrag #7048107 wurde von einem Moderator gelöscht.
Beitrag #7048431 wurde von einem Moderator gelöscht.
Beitrag #7049148 wurde von einem Moderator gelöscht.
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.