Forum: Analoge Elektronik und Schaltungstechnik Einfacher polyphoner Synthi aus Sägezahn-Oszillatoren


von Johannes (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,
ich möchte für experimentelle Zwecke einen einfachen polyphonen Synthi 
aufbauen, z.B. aus Sägezahn-Oszillatoren wie im Anhang gezeigt.
Es sollen zwei Oktaven ohne Halbtöne aufgebaut werden, also 15 Töne = 15 
Oszillatoren.
Experimentiert werden soll mit verschiedenen Stimmungen, z.B. mit reiner 
Stimmung, deswegen muss auch jeder Oszillator einzeln stimmbar sein.


Das Prinzip bis zum Summenverstärker funktioniert (habe es bereits auf 
dem Steckbrett getestet).
Nun würde ich gerne wissen, ob

a) die Oszillatoren stimmstabiler aufbaut werden können (z.B. durch 
größeren R am verpolten Emitter)?

b) die Tastung so gut positioniert ist.

c) die Auskopplung in den Summenverstärker gut durchdacht ist bzw. ob es 
hier bessere Varianten gibt.

Falls jemand sinnvolle Ideen oder Verbesserungsvorschläge dazu hat, 
bitte posten!

von MaWin (Gast)


Lesenswert?

Johannes schrieb:
> Falls jemand sinnvolle Ideen oder Verbesserungsvorschläge dazu hat

PC oder Smartphone mit Software ?

Ein aktueller DAC produziert jeden Ton sauberer als deine Analogtechnik, 
und Software mischt besser als jede Schaltung.

Wenn es um nicht-Audio (2Hz oder 2MHz, am besten noch 2kW) gehen würde, 
könnte man analog noch vorziehen, aber Audio ist mit DAC erschlagen.

von Egon D. (Gast)


Lesenswert?

Johannes schrieb:

> ich möchte für experimentelle Zwecke einen einfachen
> polyphonen Synthi aufbauen, z.B. aus Sägezahn-Oszillatoren
> wie im Anhang gezeigt.
> [...]
> Experimentiert werden soll mit verschiedenen Stimmungen,

Bessere Oszillatoren verwenden; LC oder HOCHWERTIGE
RC-Oszillatoren (mit OPV und engtolerierten passiven
Bauelementen).

von Michael M. (Firma: Autotronic) (michael_metzer)


Angehängte Dateien:

Lesenswert?

Um die 15 Transistoren einzusparen hatte unser Jörg Wunsch 2018 mal eine 
Miniorgel mit einem einzigen NE555 aufgebaut. Allerdings mit nur einer 
Oktave.

von Michael M. (Firma: Autotronic) (michael_metzer)



Lesenswert?

Wenn es etwas hochwertiger sein soll, da gab es in den 80er Jahren aus 
der Zeitschrift Elektor eine Bauanleitung für eine Miniorgel.

von Michael M. (Firma: Autotronic) (michael_metzer)


Angehängte Dateien:

Lesenswert?

Oder eine ganz einfache Tonleiter mit nur einem CD4093 der direkt über 
eine H-Brücke einen Piezo Lautsprecher treiben kann. Der Vibrato-Effekt 
ist abschaltbar.

von Tobi (Gast)


Lesenswert?

Michael M. schrieb:
> Oder eine ganz einfache Tonleiter mit nur einem CD4093 der direkt
> über
> eine H-Brücke einen Piezo Lautsprecher treiben kann. Der Vibrato-Effekt
> ist abschaltbar.

Ist nicht polyphon!

von Michael M. (Firma: Autotronic) (michael_metzer)


Lesenswert?

Tobi schrieb:
> Ist nicht polyphon!

Ach ja, stimmt, jetzt sehe ich es auch. Dann sind alle meine Vorschläge 
zu ignorieren. Die sind nämlich alle nicht polyphon!

von Jürgen S. (engineer) Benutzerseite


Lesenswert?

Michael M. schrieb:
> Ach ja, stimmt, jetzt sehe ich es auch. Dann sind alle meine Vorschläge
> zu ignorieren. Die sind nämlich alle nicht polyphon!

Musst du halt mehrere parallel bauen. Siehe:
http://www.96khz.org/htm/pldmodularorgan.htm

Momentan sind monophone Synthesizer aber wieder um kommen. Die können 
sogar gesynched werden, um sie zur (in dem Fall) supersaw zusammen 
zusetzen.

Polyphone Sägezähne sind aber mit Vorsicht zu genießen. Nichts hat 
unmusikalischere Oberwellen, als die saw tooth. Da stecken nämlich 
gerade und ungerade drin. Diese zu einem Dreiklang zusammen zu bekommen 
und dann auch noch mit Chorus ist schnell sehr aggressiv.

von Cartman (Gast)


Lesenswert?

> ohne Halbtöne
ist in Verbindung mit
> verschiedenen Stimmungen

voellig sinnfrei.

Die (Spar-)oszillatoren taugen dafuer auch nicht.

Um zu reproduzierbaren Ergebnissen zu kommen, wird sich
ein Ausflug in die wunderbare Welt der Digitaltechnik wohl
nicht vermeiden lassen.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Johannes schrieb:
> Experimentiert werden soll mit verschiedenen Stimmungen, z.B. mit reiner
> Stimmung, deswegen muss auch jeder Oszillator einzeln stimmbar sein.
Um das Ganze halbwegs reproduzierbar zu machen, würde ich digitale 
Oszillatoren (Stichwort DDFS) nehmen, also am einfachsten pro Ton einen 
µC, und die alle vom selben Taktgeber ansteuern. Denn nur dann hörst du 
die Effekte der Stimmungen und nicht irgendwelche mikroskopische 
Verschiebungen von unterschiedlichen Quarzen.

Wenn man es schlauer macht, dann kann auch ein einziger µC die 15 
beliebig zueinander gestimmten Töne erzeugen.

Das ist dann berechenbar, reproduzierbar und vor allem: per Knopfdruck 
blitzschnell umschaltbar.

von Andreas M. (amesser)


Lesenswert?


von Zeno (Gast)


Lesenswert?

Michael M. schrieb:
> Um die 15 Transistoren einzusparen hatte unser Jörg Wunsch 2018 mal eine
> Miniorgel mit einem einzigen NE555 aufgebaut. Allerdings mit nur einer
> Oktave.
Und eben nicht polyfon. Für polyfone Instrumente brauchst Du halt für 
jeden Ton einen eigenen Generator, zumindest in der höchsten Oktave. Die 
darunter liegenden Oktaven kann man durch Teilung gewinnen, allerdings 
hat man dann keinen Sägezahn mehr sondern Rechtecke, was klanglich dann 
wohl nicht so dolle ist.

@TO
Das Auskoppeln des Tones kann man so machen. Für die Klangfülle des 
Instrumentes ist dann die Verharfung entscheident.
Google mal nach "Georg Engel Elektronische Musikinstrumente", da wirst 
Du schnell fündig. Die Literatur ist zwar schon älter, aber das Prinzip 
wie man ein elektronisches Intrument baut dürfte auch heut noch gültig 
sein.
Ich kann die Elektronica Heftchen und das das Buch von ihm sehr 
empfehlen.

von Nautilus (Gast)


Lesenswert?

Johannes schrieb:
> Es sollen zwei Oktaven ohne Halbtöne aufgebaut werden

Es sollte aber klar sein, das in der Dur-Tonleiter zwischen dem 3. und 
4. Ton und dem 7. und 8. Ton ein Halbtonschritt liegt.

Eine einfache Orgel ist hier beschrieben: 
https://www.elektronik-labor.de/Notizen/Orgelbausatz.html

von Egon D. (Gast)


Lesenswert?

Nautilus schrieb:

> Johannes schrieb:
>> Es sollen zwei Oktaven ohne Halbtöne aufgebaut werden
>
> Es sollte aber klar sein, das in der Dur-Tonleiter zwischen
> dem 3. und 4. Ton und dem 7. und 8. Ton ein Halbtonschritt
> liegt.

Naja, es ist m.E. witzlos, mit unterschiedlichen Stimmungen
experimentieren zu wollen und dann nur C-Dur (und a-Moll)
zur Verfügung zu haben.
Chromatisch sollte es schon sein...

von Egon D. (Gast)


Lesenswert?

Lothar M. schrieb:

> Johannes schrieb:
>> Experimentiert werden soll mit verschiedenen Stimmungen,
>> z.B. mit reiner Stimmung, deswegen muss auch jeder
>> Oszillator einzeln stimmbar sein.
>
> Um das Ganze halbwegs reproduzierbar zu machen, würde
> ich digitale Oszillatoren (Stichwort DDFS) nehmen,

Langweilig.

Ein Halbton sind knapp 6%; 1 Cent (= ein Hundertstel
Halbton) sind somit 0.06%, also etwas weniger als 0.1%.
Das ist analog noch beherrschbar.

von Cartman (Gast)


Lesenswert?

> Die darunter liegenden Oktaven kann man durch Teilung gewinnen

Kann man nicht, wenn man die Stimmung universell anpassen koennen will.
Es gibt u.a. Stimmungen in denen die Oktave eben kein glattes
Frequenzverhaeltnis von 1:2 hat.

Allerdings ist das ganze ohne Halbtoene sowieso witzlos.

von Cartman (Gast)


Lesenswert?

> Ein Halbton sind knapp 6%; 1 Cent (= ein Hundertstel
> Halbton) sind somit 0.06%, also etwas weniger als 0.1%.
> Das ist analog noch beherrschbar.

Das ist fuer die "gleichschwebend temperierte Stimmung" wohl zutreffend.
Wenn man allerdings die Wirkung unterschiedlicher Stimmungen
erkunden will, darf man wohl noch mindestens eine Zehnerpotenz
mehr Gehauigkeit erwarten und verlangen.
Der analoge Ansatz hat allerdings den entscheidenden Nachteil,
dass man zum "Umstimmen" dann wohl immer den guten Frequenzzaehler
benutzen muss, um in erklecklicher Zeit fertig zu werden.

Schonmal ein Klavier gestimmt?

von Nautilus (Gast)


Lesenswert?

Cartman schrieb:
> Es gibt u.a. Stimmungen in denen die Oktave eben kein glattes
> Frequenzverhaeltnis von 1:2 hat.

Der TO wünscht keine Halbtöne. Deshalb ist eine wohltemperierte Stimmung 
mit leichten Schwebungen zwischen den Oktaven nicht erforderlich.

Vor einigen Jahrzehnten wurden in den elektronischen Orgeln noch von den 
12 Mutteroszillatoren durch 2:1 Teiler die Oktaven erzeugt. Die 
Ungenauigkeiten sind in der Rock und Pop Musik, vor allem wenn sie laut 
dargeboten wurde, nicht aufgefallen.

Man sollte nur nicht versuchen auf solch einer Orgel die Werke von Bach 
für das Wohltemperierte Klavier ernsthaft spielen zu wollen.

von Cartman (Gast)


Lesenswert?

> Der TO wünscht keine Halbtöne.

Nun, wir sind hier nicht in der Klippschule.
Wenn dir das nicht gefaellt, musst du meine Beitraeg nicht lesen.

Vermutlich weiss der TO, ausser damit "experimentieren" zu wollen,
nicht viel oder gar nichts von Stimmungen und Tonleitern.
Und die sind ohne Halbtoene nur unvollstaendig.

von Eins N00B (Gast)


Lesenswert?

Johannes schrieb:
> Hallo,
> ich möchte für experimentelle Zwecke einen einfachen polyphonen Synthi
> aufbauen, z.B. aus Sägezahn-Oszillatoren wie im Anhang gezeigt.
> Es sollen zwei Oktaven ohne Halbtöne aufgebaut werden, also 15 Töne = 15
> Oszillatoren.
> Experimentiert werden soll mit verschiedenen Stimmungen, z.B. mit reiner
> Stimmung, deswegen muss auch jeder Oszillator einzeln stimmbar sein.

Da würde digital tatsächlich eine deutlich schnellere Änderung der 
Oszillatorstimmungen ermöglichen.

MaWin schrieb:
> Ein aktueller DAC produziert jeden Ton sauberer als deine Analogtechnik,
> und Software mischt besser als jede Schaltung.

Hängt halt auch davon ab, welchen Sound man erreichen möchte. Je nachdem 
ist das Driften von Oszillatoren sogar wünschenswert und wird in 
digitalen Emulationen sogar mitsimuliert.

Aber da hier die Stimmbarkeit Hauptkriterium ist, reihe ich mich bei den 
Digital-Empfehlern mit ein.

von Mani W. (e-doc)


Angehängte Dateien:

Lesenswert?

Johannes schrieb:
> Hallo,
> ich möchte für experimentelle Zwecke einen einfachen polyphonen Synthi
> aufbauen, z.B. aus Sägezahn-Oszillatoren wie im Anhang gezeigt.
> Es sollen zwei Oktaven ohne Halbtöne aufgebaut werden, also 15 Töne = 15
> Oszillatoren.
> Experimentiert werden soll mit verschiedenen Stimmungen, z.B. mit reiner
> Stimmung, deswegen muss auch jeder Oszillator einzeln stimmbar sein.

Du solltest Tonschaltungen nutzen, die themperaturstabil sind!

Beispielschaltungen aus dem Buch "Musikelektronik" (Tünker, 
Franzis-Verlag)
angehängt...

Die sind einfach zu bauen, relativ stabil gegen Themperaturen, die 
Betriebsspannung
sollte allerdings sehr stabil bleiben...

Besser sind natürlich die VCOs des FORMANT...

von Mark S. (voltwide)


Lesenswert?

Bob Moog hat derzeit VCOs gebaut, die mit 1V/okt gesteuert wurden, über 
den gesamten Bereich von 10 Oktaven. Und die 3 unabhängigen Oszillatoren 
eines Synthesizers konnten auf Schwebungsnull abgeglichen werden. Das 
war für mich die damalige Speerspitze an Analogtechnik.

: Bearbeitet durch User
von Egon D. (Gast)


Lesenswert?

Cartman schrieb:

>> Ein Halbton sind knapp 6%; 1 Cent (= ein Hundertstel
>> Halbton) sind somit 0.06%, also etwas weniger als 0.1%.
>> Das ist analog noch beherrschbar.
>
> Das ist fuer die "gleichschwebend temperierte Stimmung"
> wohl zutreffend.

Ja natürlich -- die Cent-Skala ist ja am temperierten
Halbton definiert.

Und die Cent-Skala ist ja gerade definiert worden, um
verschiedene Stimmungen bequem miteinander in Beziehung
setzen zu können...


> Wenn man allerdings die Wirkung *unterschiedlicher*
> Stimmungen erkunden will, darf man wohl noch mindestens
> eine Zehnerpotenz mehr Gehauigkeit erwarten und verlangen.

Erwarten und verlangen darfst Du jeden Unsinn. Dies ist
ein freies Land.

Eine Abweichung von 1 Cent hört niemand, der nicht speziell
darauf trainiert wurde.
Tolerable Unterschiede, die bei verschiedenen Stimmungen
auftreten, liegen so grob um 10 Cent herum; die Wolfsquinte,
die nicht mehr akzeptabel ist, hat 38 Cent Abweichung.


> Der analoge Ansatz hat allerdings den entscheidenden
> Nachteil, dass man zum "Umstimmen" dann wohl immer den
> guten Frequenzzaehler benutzen muss, um in erklecklicher
> Zeit fertig zu werden.

Nicht zwingend.
Wenn die Oszillatoren driftarm sind und vernünftig
kalibriert wurden, langt ein Multimeter -- oder ein
Poti mit Cent-Skala.


> Schonmal ein Klavier gestimmt?

Ja.

Allerdings ist die Frage unfair, denn Klaviere haben
keine reinen Oktaven, sondern gestreckte.

Und das Klavier war nach meiner Stimm-Aktion auch nicht
wirklich gleichschwebend temperiert... :)

von Egon D. (Gast)


Lesenswert?

Eins N00B schrieb:

> Je nachdem ist das Driften von Oszillatoren sogar
> wünschenswert und wird in digitalen Emulationen
> sogar mitsimuliert.

Phasenstarre Halbtöne, wie sie bei Ableitung aller
Halbtöne aus einem gemeinsamen Mutteroszillator
entstehen, klingen dämlich.

Das kann man bei bestimmten Exemplaren der "Vermona ET 3"
studieren.

von Mani W. (e-doc)


Lesenswert?

Mark S. schrieb:
> Bob Moog hat derzeit VCOs gebaut, die mit 1V/okt gesteuert wurden, über
> den gesamten Bereich von 10 Oktaven. Und die 3 unabhängigen Oszillatoren
> eines Synthesizers konnten auf Schwebungsnull abgeglichen werden. Das
> war für mich die damalige Speerspitze an Analogtechnik.

Für mich ebenso!

Analog und hochpräzise über 5 Oktaven ist schon eine
Glanzleistung mit 3 Generatoren - 10 Oktaven natürlich ein Highlight!

Frage dazu:

Gibt es heute noch spezielle ICs, die das packen, was auf einer
Doppeleuroplatte aufgebaut wurde - in dieser oder noch höherer
Präzision?

von Cartman (Gast)


Lesenswert?

> Gibt es heute noch spezielle ICs

Heute nicht mehr, aber ein HP 8116A schafft mit ASICs einen
Bereich von 1 mHz bis 50 (60) MHz.
Da ist wohl eher eine Speerspitze zu sehen.

von Löppt (Gast)


Lesenswert?

Mani W. schrieb:
> Gibt es heute noch spezielle ICs, die das packen, was auf einer
> Doppeleuroplatte aufgebaut wurde - in dieser oder noch höherer
> Präzision?

Der CEM3340 wird gerüchteweise wieder aufgelegt. Aber der war 
zwischendurch ja auch schon weg.

von Bastian Bass (Gast)


Lesenswert?

Löppt schrieb:
> Der CEM3340 wird gerüchteweise wieder aufgelegt. Aber der war
> zwischendurch ja auch schon weg.

https://www.amazona.de/wieder-aufgelegt-curtis-3340-vco-curtis-3320-vcf

https://www.matrixsynth.com/2016/06/curtis-cem3340-vco-chips-re-issued.html

Ein Modul damit:
https://www.modulargrid.net/e/g-storm-electro-101-vco-blue

Ob/wo das IC erhältlich ist, weiß ich aber nicht. Da hier Unternehmen 
mitmischen, die selbst diese Chips in ihren Geräten verbauen, sieht es 
(für privat) evtl. eher schlecht aus.

von Löppt (Gast)


Lesenswert?

Bastian Bass schrieb:
> Ob/wo das IC erhältlich ist, weiß ich aber nicht. Da hier Unternehmen
> mitmischen, die selbst diese Chips in ihren Geräten verbauen, sieht es
> (für privat) evtl. eher schlecht aus.

Da wird der IC zumindest zum Verkauf angeboten: 
https://electricdruid.net/product/cem3340-vco-rev-g/
Ich kenne die Seite aber nicht.

von Karl B. (gustav)


Lesenswert?

Gabs mal so bis in die 90-er Jahre afaik:
https://www.eminent-orgeln.de/orgeln/31/e-200-d.html

Kunde:
Alle Töne wären grausig daneben.
Konnte die Reparatur schnell erfolgreich beenden:
Netzspannung war falsch eingestellt.
Also zumindest die 12 Töne der höchsten Oktave können innerhalb 
bestimmter Grenzen versetzt werden. Und ist spannungsabhängig.
Dann sind da noch Sperrschwinger drin.

ciao
gustav

von Cartman (Gast)


Lesenswert?

> Feinstimmung (Tuning), Transponierung

Das sieht eher nach einem Topoktavsynthesizer aus.
Aber vielleicht davor.

Und wenn davor, dann mit ordentlichen LC-Oszillatoren.
Die hatten zum Stimmen dann ein kleines Schraeubchen.

Und
> Sperrschwinger
eigentlich ueberhaupt nicht. So ein Sperrschwinger hat ja
keinen (L/C-)Schwingkreis und schwingt mehr oder weniger
asynchron von Schaltschwellen getrieben.

Ein TOS haette aber eine falsch eingestellte Spannung, dass
kann ja nur 110 o. 120 V gewesen sein, wohl nicht ueberlebt.

von Cartman (Gast)


Lesenswert?

> schwingt mehr oder wenigerasynchron von Schaltschwellen getrieben

Naja, gaaanz frueher wurden auch Saegezahngeneratoren mit
Glimmlampen gebaut. Umnoeglich ist wohl nichts.

von Karl B. (gustav)


Lesenswert?

Cartman schrieb:
> Und
>> Sperrschwinger
> eigentlich ueberhaupt nicht.

Die Sperrschwinger dienten zur 1:2 Frequenzteilung. Aber ziemlich 
kritisch.
Kippten leicht auf die falsche Teilfrequenz. Und nicht nur im 
gewünschten Oktav-Verhältnis.
Leider keine Schaltplanunterlagen mehr hier zur Hand. Sonst könnte man 
das weiter vertiefen.
TOS war das nicht im Sinne von phasenstarrem (IC TMS3819 z.B.) 
Generieren der höchsten 12 Töne.
Die Generatoren mussten alle separat eingestimmt werden.

Cartman schrieb:
> dass
> kann ja nur 110 o. 120 V gewesen sein, wohl nicht ueberlebt.

andersherum schon -;)

ciao
gustav

von Taxifahrer (Gast)


Lesenswert?

Johannes schrieb:
> ich möchte für experimentelle Zwecke einen einfachen polyphonen Synthi
> aufbauen, z.B. aus Sägezahn-Oszillatoren wie im Anhang gezeigt.

Es ist lange her, da gab es vom Franzis Verlag diverse Bücher zu dem 
Thema.
Das was zu deinem Thema passen würde sind die Bücher von Tünker.

https://de.book-info.com/isbn/3-7723-6301-6.htm

Hier war auch die Schaltung für einen sehr Breitbandigen Sägezahn 
Oszillator drin. Dazu passend die Frequenzteiler ( Oktavteiler), VCF 
usw.
Alles diskret aufgebaut, also ideal zum experimentieren.

Vielleicht findest so ein Buch noch.
Es gab von Tünker auch ein Buch Synthesizer im Selbstbau.

von Cartman (Gast)


Lesenswert?

> Die Sperrschwinger dienten zur 1:2 Frequenzteilung. Aber ziemlich
> kritisch.
Ach so. In meiner steckten echte Flip-Flops.

> Kippten leicht auf die falsche Teilfrequenz. Und nicht nur im
> gewünschten Oktav-Verhältnis.
Das gibt dann unfreiwillige Quinten und Terzen :).
Oder noch schlimmeres.

> TOS war das nicht im Sinne von phasenstarrem (IC TMS3819 z.B.)
> Generieren der höchsten 12 Töne.
Ja, so kann man die ganze Orgel aber mit einem Knopf stimmen.
Oder Hawaigitarre darauf spielen.

> Die Generatoren mussten alle separat eingestimmt werden.
So kenne ich das bei meiner auch. Habe aber spaeter selbst einen
Topoktavsynthesizer eingebaut. Den konnte man mit einem 500 pF
Drehko entweder eine Oktave nach oben, oder eine nach unten ziehen.
Vorteil gegenueber einem Poti war die leichtgaengige Bedienung die
nur sehr wenig Kraft brauchte. Da reichte der auf den Knopf
aufgelegte kleine Finger schon.

Hinter der Orgel sass dann aber noch ein Chorus mit 4 Taps
also 4 Eimerketten TDA1022, der die Phase dann noch kraeftig
modulieren konnte. Ebenfalls ein Eigenbau.

von Waldmann 05 (Gast)


Lesenswert?

Taxifahrer schrieb:
> Hier war auch die Schaltung für einen sehr Breitbandigen Sägezahn
> Oszillator drin. Dazu passend die Frequenzteiler ( Oktavteiler), VCF
> usw.
> Alles diskret aufgebaut, also ideal zum experimentieren.

Da gab es einen der das weiter gemacht hat.

http://donaupeter.de/synth/VCO-Tuenker/VCO-Tuenker.htm

Die Bücher gibt es nur mehr für viel Geld.
Oder einem Download? Habe jetzt nichts gefunden.

von Jobst M. (jobstens-de)


Lesenswert?

16 DDS Stimmen, die dann auch noch auf keine feste Frequenz festgelegt 
sind, würden in einen ATmega48-328 passen ...

Edit: Naaaa, ich möchte meine Aussage aus Performancegründen auf die 
Hälte, also 8 Stimmen, verringern.

Gruß
Jobst

: Bearbeitet durch User
von Jürgen S. (engineer) Benutzerseite


Lesenswert?

Taxifahrer schrieb:
> Hier war auch die Schaltung für einen sehr Breitbandigen Sägezahn
> Oszillator drin. Dazu passend die Frequenzteiler ( Oktavteiler), VCF
> usw.
> Alles diskret aufgebaut, also ideal zum experimentieren.

Die Mimik solcher Gerätchen ist eigentlich immer gleich:

- TOS macht 12/13 Frequenzen
- Bänke von JK-FFs erzeugen die Oktav-Frequenzen
- Integrator liefert Sägezahn aus Rechteck
- Dual-Slope-Integrator oder eine analoge Kippstufe liefert Dreieck
- Filter biegen den Frequenzgang

Im digitalen Oszillator ist es einfacher, weil die Phase schon den 
Sägezahn abgibt und unter Verwendung des höchsten Bits als Negation + 
Offset das Dreieck entsteht. Damit hat man die ungeraden Oberwellen. 
Fortgesetztes Quadrieren liefert die geraden. Schlaues Zusammensetzen 
der sich bildenden Gerade liefert einen Quasisinus.

Die Krux steht in der Vermeidung von schlecht klingenden Anteilen, die 
durch die Phasensprünge bei den DDS entstehen. Das Wiederum gibt es halt 
im Analogen nicht.

Bauen täte ich das heute nicht mehr - Behringer flutet den Markt mit 
analogen Synthesizern und Korg mit der Volca-Serie die digitale Ebene. 
Alles zu unter 150,- das Stück.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Egon D. schrieb:
> Phasenstarre Halbtöne, wie sie bei Ableitung aller
> Halbtöne aus einem gemeinsamen Mutteroszillator
> entstehen, klingen dämlich.
Es geht dem inzwischen abgetauchten TO darum, verschiedene Stimmungen 
auszuprobieren. Und das sollte reproduzierbar sein. Denn was nützt es, 
wenn man die ultimative Stimmung für ein Lied gefunden hat, diese 
Stimmung am nächsten Tag dank Temperaturdrift und Wackelkontakt 
verschwunden ist.

Und da bei beliebiger Stimmung keine "phasenstarren" Halbtöne 
herauskommen können, ist dieses Argument hinfällig.

von Johannes (Gast)


Lesenswert?

Lothar M. schrieb:
> Es geht dem inzwischen abgetauchten TO darum,

Nein, ich habe den Beitrag aufmerksam verfolgt und bin mittlerweile zu 
dem Schluss gekommen, dass es einfacher ist, eine (echte) Harfe zu bauen 
als einen solchen Synthi.
Die ausschlaggebenden Punkte sind, dass eine Harfe von sich aus schon 
polyphon ist und gut klingt und dann bekommt man auch noch eine 
Anschlagsdynamik frei Haus.

Das Synthi-Projekt hatte ich mir deutlich einfacher vorgestellt. Will 
man es aber einigermaßen akzeptabel umsetzen, wird der Aufwand schon 
recht groß, wie mir durch diesen Beitrag klar geworden ist.

Also vielen Dank an alle Postenden hier und einen guten Start in die 
neue Woche morgen!
Viele Grüße

von Audiomann (Gast)


Lesenswert?

Lothar M. schrieb:
> diese Stimmung am nächsten Tag dank Temperaturdrift und Wackelkontakt
> verschwunden ist.
Solange die Tongeneratoren stimmbar sind, sollte das machbar sein. Auch 
andere Schaltungen müssen ja mit Trimmern getuned werden. :-)

Johannes schrieb:
> bin mittlerweile zu dem Schluss gekommen, dass es einfacher ist, eine
> (echte) Harfe zu bauen als einen solchen Synthi.
Das würde ich bestreiten. Außerdem muss auch eine Harfe öfters gestimmt 
werden. Gerade die kleinen keltischen Harfen die wieder modern geworden 
sind.

Ok, es sei denn, du spielst auf einem Mittelalterfest, die auch mords in 
Mode kommen. Dann darf man mit ungestimmten Harfen aus China auf die 
Bühne, halb angesoffen herumjaulen, es "Minnegesang" nennen und alte 
Leggins auftragen, die man in der Altkleidersammlung aufgetrieben hat. 
Man muss es nur mitteralterlich nennen und einen Deppen finden, der 
einen engagiert. Der dumpfbackige Musikhörer frisst heute praktisch 
alles, wenn die Verpackung stimmt. Wahrscheinlich merkt so einer nicht 
mal, wenn die Harfe aus dem Keyboard kommt.

von Mark S. (voltwide)


Lesenswert?

Audiomann schrieb:
> Ok, es sei denn, du spielst auf einem Mittelalterfest, die auch mords in
> Mode kommen. Dann darf man mit ungestimmten Harfen aus China auf die
> Bühne, halb angesoffen herumjaulen, es "Minnegesang" nennen und alte
> Leggins auftragen, die man in der Altkleidersammlung aufgetrieben hat.
Jetzt hast Du mich aber neugierig gemacht auf solch ein Mittelalterfest!

: Bearbeitet durch User
von Nils (Gast)


Lesenswert?

Mani W. schrieb:
> Gibt es heute noch spezielle ICs, die das packen, was auf einer
> Doppeleuroplatte aufgebaut wurde - in dieser oder noch höherer
> Präzision?

Ja, gibt es wieder. AS3340

von Purzel H. (hacky)


Lesenswert?

> Du solltest Tonschaltungen nutzen, die themperaturstabil sind!

Da war kuerzlich eine App, da konnte man sich das alles auf dem 
Bildschirm zusammenstecken, und ueber die Soundkarte rausblasen.
Oszillatoren bis zum Abwinken, Sampler, Repeaterm, Verknuepfungen wie 
Schlagzeug Huellkurve auf den Bass VCO ..

Waer allenfalls zielfuehrenden wie zu Loeten. Also vom Ergebnis des 
Sound Erlebnisses her betrachtet.

von Jürgen S. (engineer) Benutzerseite


Lesenswert?

Purzel H. schrieb:
> Waer allenfalls zielfuehrenden wie zu Loeten

Beim Zusammenstecken lernt man aber nichts. Vor allem lernt man nichts 
über Sounddesign und das Zusammenwirken von Wellen und deren Änderungen. 
Das muss man selber geregelt und ins Gespür bekommen haben. Ansonsten 
ist das wie Fussballspielen auf der Playstation. Da kann man sich auf 
eine Mannschaft zusammen klicken, dann ein Spiel bestreiten bei dem von 
den vielen Millionen möglichen Spiel- und Bewegungssituationen nur 
wenige vorkommen, die man mit wenigen Joystickbewegungen steuern kann, 
so als gäbe es für die Muskeln in Beinen und Füssen nur 5 Parameter und 
es bräuchte keinerlei Sensorik.

Nils schrieb:
> a, gibt es wieder. AS3340
Ist das nicht so ein Chip mit dem auch Behringer seine Analogsynths 
baut?

Sinus kommt da aber keiner raus, oder? Braucht dann wieder das 
Diodennetzwerk aus den 80ern.

von Nils (Gast)


Lesenswert?

Jürgen S. schrieb:
> Ist das nicht so ein Chip mit dem auch Behringer seine Analogsynths
> baut?

Ich glaube Behringer hat mit CoolAudio seine eigene Reihe. Aber gut 
möglich, das sie auch diesen Chip einsetzen. Ist ein Clone des 
legendären CEM3340.

> Sinus kommt da aber keiner raus, oder? Braucht dann wieder das
> Diodennetzwerk aus den 80ern.

Richtig. Dioden Netzwerk wenn es wirklich sauber sein soll (für FM 
Experimente). Oder ganz simpel ein zwei Transistor 
Differentialverstärker hinter dem Triangle Output. Die tanh 
Übertragungsfunktion reicht in der Praxis aus um die Ecken der Triangle 
Wellenform abzurunden.

von Mani W. (e-doc)


Lesenswert?

Egon D. schrieb:
> Ja natürlich -- die Cent-Skala ist ja am temperierten
> Halbton definiert.
>
> Und die Cent-Skala ist ja gerade definiert worden, um
> verschiedene Stimmungen bequem miteinander in Beziehung
> setzen zu können...

Ich habe meinen Synth noch in österreichischen Schilling gestimmmt!

Der klingt perfekt, nach österreichischem Maß...

von Mani W. (e-doc)


Lesenswert?

Jürgen S. schrieb:
> Sinus kommt da aber keiner raus, oder? Braucht dann wieder das
> Diodennetzwerk aus den 80ern.

Ich brauche keinen Sinus für musikalische Zwecke, Dreieck reicht,
ist aber doch sehr madig, besser ist ein Rechteck mit PWM...

Natürlich mit ADSR, 24 db HP/BP/LP Filter, spannungsgesteuert...

von M.A. S. (mse2)


Lesenswert?

Mani W. schrieb:
> besser ist ein Rechteck mit PWM...
Das sollte der AS3340 wohl können.

von Tim D. (Gast)


Lesenswert?

Andreas M. schrieb:
> Vielleicht hilft Dir das weiter:
> ...
> https://www.lookmumnocomputer.com/1000-oscillator-megadrone

Der Sound ist echt beeindruckend!

Danke für den Link.

von Jürgen S. (engineer) Benutzerseite


Lesenswert?

Tim D. schrieb:
> Der Sound ist echt beeindruckend!

allerdings weit weg von der Anforderung des TE:
"Einfacher polyphoner Synthi"

Ich frage mich auch, was es für einen Sinn macht, so viele OSCs zu 
nutzen und sie gleich zu stimmen. Massive Schwebungen kriege ich auch 
mit weniger Klängen raus und die, die wirklich gleich schwingen, 
produzieren denselben Sinus.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.