Forum: FPGA, VHDL & Co. Schematic Demo gesucht


von Max S. (schuby)


Lesenswert?

Hallo,
Ich suche schon den ganzen Tag nach einen guten Demo oder Info wie
ich zwei erstellte Schematic Symbole in einen top Schematic einbinde.
ich bekomme immer error bei einer *vf Datei. Ich denke die muss 
umgeschrieben werden.
So wie bei vhdl Module, da weiß ich wie es geht, aber in der vf Datei 
nicht

mfg Schuby

1
  top.sch  
2
     counter.sch
3
     reg.sch


top.vf
1
   counter_MUSER_top  XLXI_7 (.ADDR_IN(), 
2
                                .CE_Counter(), 
3
                                .CLK_1(), 
4
                                .CLK_2(), 
5
                                .CLR_COUNTER(), 
6
                                .LOAD_Counter(), 
7
                                .SEL_Counter(), 
8
                                .ADDR_OUT(), 
9
                                .TC_OUT());
10
   reg  XLXI_8 (.ACTION(), 
11
               .CLK50_in(), 
12
               .DATA_IN(), 
13
               .ENA(), 
14
               .RESET_N(), 
15
               .SCLOCK(), 
16
               .DATA_OUT(), 
17
               .LedAction(), 
18
               .LedCLK(), 
19
               .LedClock(), 
20
               .LedENA(), 
21
               .LedRESET(), 
22
               .SRAM_CE_N(), 
23
               .SRAM_LB_N(), 
24
               .SRAM_OE_N(), 
25
               .SRAM_UB_N(), 
26
               .SRAM_WE_N(), 
27
               .SRAM_DQ());
28
endmodule
29
30
ERROR:HDLCompilers:26 - "top.vf" line 389 unexpected token: '('
31
ERROR:HDLCompilers:26 - "top.vf" line 390 expecting 'IDENTIFIER', found '.'
32
ERROR:HDLCompilers:26 - "top.vf" line 391 expecting 'IDENTIFIER', found '.'
33
ERROR:HDLCompilers:26 - "top.vf" line 392 expecting 'IDENTIFIER', found '.'
34
ERROR:HDLCompilers:26 - "top.vf" line 393 expecting 'IDENTIFIER', found '.'

von Rick D. (rickdangerus)


Lesenswert?

Wer tut sich denn noch den Ärger mit schematic-Eingabe an?
BTW: Welches Tool (und in welcher Version) verwendest Du?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Max S. schrieb:
> in einen top Schematic einbinde.
> ich bekomme immer error bei einer *vf Datei.
Diesen Threadanfang kenne ich. Weiter geht es dann so wie im 
Beitrag "kruder Fehler bei FPGA-Programmierung (ISE WEBpack-Schematic)"

Fazit: lass das mit den Schematics einfach und verwende deine HDL zur 
Verdrahtung, so dass man auch ohne den grade aktuellen (und in 10 Jahren 
nicht mehr lauffähigen) Schaltplaneditor auskommt.

: Bearbeitet durch Moderator
von Max S. (schuby)


Lesenswert?

Hallo  Lothar M,

das werde ich auch tun, aber ich bin wie geschrieben ein neuling
und muss üben üben üben....

VHDL ist eine mächtige Sprache, nicht so wie Pascal oder C++

Aber ich muss ermal alles testen was geht. Und ich habe heute Nacht auch 
rausbekommen wie ich 2 Schematic zu einen top.sch zusammen einfügen 
kann.

Auf das schematic in Hierarchy klicken und dann in Processes
View HDL Funktional Model und View HDL Instantiation rechte Maus Taste
und auf Process Properties von Verilog auf VDH stellen.


mfg Schuby

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Max S. schrieb:
> VHDL ist eine mächtige Sprache, nicht so wie Pascal oder C++
Du hast da doch Verilog...  ;-)

> Aber ich muss ermal alles testen was geht.
Mein Vorschlag: fang einfach mit einer blinkenden LED an, mach dann das 
Lauflicht, die 7-Segmentanzeigen, die Uhr, das LCD, serielle 
Schnittstelle usw. Und das gleich samt Simulation(!!) und Testbench von 
vorn herein in der HDL, die du später verwenden willst. Das proprietäre 
Schaltplan-Gehampel ist rausgeworfene Zeit.

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Da geht einiges durcheinander
> Welches Tool (und in welcher Version) verwendest Du?
die Frage ist noch nicht beantwortet, zum Glück gibt es nur wenige, 
Quartus ist es nicht, da heißen die Verilog-Files ".v". Ich vermute 
etwas von Xilinx.
Ich arbeite gern mit einem einzelnen top-level schmatic, da ich als 
Hardware-Mensch Schaltpläne gewohnt bin.
Hier mein altes Beispiel zu einem Lattice-CPLD ispLSI1016 in 
ABEL/Synario:
Beitrag "Re: Frequenzzähler Uno"

von Max S. (schuby)


Lesenswert?

Hallo  Christoph,
ich habe ein altes Spartan3 Xilinx Board von Digilent damit übe ich.
Meine ISE ist die 14.6

Ich weiß jetzt wie man Schematic zusammen setzt. Mein fehler war eine
falsche einstellung.

>Design Utilites
>>View HDL Functional Model
>>View HDL Instantiation

Rechte Maustaste dann auf  Process Properties auf VHDL stellen
da stand Verlilog

Dann bekommt man auch die richtigen Componete und die Port Map angezeigt
um diese Daten dann in top.sch einfügen.

Ich habe aber jetzt alles in VHDL Programmiert, die anderen haben schon 
recht. Man sollte alles in VHDL Programmieren.

Und der Simulator ist der Hammer, man kann alles testen.

mfg Schuby

von Christoph db1uq K. (christoph_kessler)


Lesenswert?

Abgesehen von dem zitierten uralten Lattice-CPLD mit Synario habe ich 
bisher nur Quartus mit Verilog benutzt, für ein CPLD der Altera MAX II 
Serie.
Und noch ein altes ISE für ein Xilinx-CPLD der Coolrunner Serie, schon 
im Jahr 2000 ausgestorben, aber nur für den Programmer am Parallelport 
unter WinXP der darin enthalten war. Und ein CPLD von Atmel mit WinCUPL. 
Ein altes Spartan Eval-Board liegt auch noch irgendwo und ein FPGA-Board 
von Altera, lauter angefangene Projekte. Also von allem etwas, aber nur 
Quartus etwas ausführlicher, das habe ich auch hier wieder (unter Linux) 
installiert und will es irgendwann demnächst wieder benutzen. Ich finde 
Verilog übersichtlicher, aber das ist Geschmackssache.

von Max S. (schuby)


Lesenswert?

Hallo allerseits,
eine kleine Frage habe ich noch.
Kann ich im Schematic Editor einen z.b. Counter in VHDL umwandeln ?


mfg Schuby

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Max S. schrieb:
> Kann ich im Schematic Editor einen z.b. Counter in VHDL umwandeln ?
Eigentlich schon, es kann aber sein, dass der Zähler einfach nur sls 
Komponente eingebunden wird oder der Code unleserlich kompliziert ist.

Schreib den Zähler doch einfach direkt hin:

cnt <= cnt+1 when rising_edge(clk);

von Max S. (schuby)


Lesenswert?

Hallo Lothar M,
das mache ich doch schon alles in VHDL zu Programmieren.
Es gibt ja noch andere Sachen in der Schematic Symbole und ich
wollte schauen wie Xilinx sowas Programmiert.


mfg Schuby

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Max S. schrieb:
> Es gibt ja noch andere Sachen in der Schematic Symbole und ich
> wollte schauen wie Xilinx sowas Programmiert.
Vergiss es.

Das, was trivial ist (wie Zähler und Multiplexer und Logik), schreibst 
du leichter und kürzer selber.

Und von dem, was kompliziert ist, bekommst du nur einen VHDL-Wrapper, 
der als Interface zur eigentlichen Komponente dient. Dei Komponente 
selber gibt es aber nur als Netzliste, nicht als VHDL-Beschreibung.

: Bearbeitet durch Moderator
Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.