Forum: FPGA, VHDL & Co. Einstieg in CPLDs?


von Dirk Schlage (Gast)


Lesenswert?

Hallo.
Ich würde gerne in die 'Programmierung' von CPLDs hineinschnuppern, 
ALTERA, XILINX, LATTICE mit nichtflüchtigem Speicher z.B. Altera MAX. Es 
gibt Starterkits(?), teilweise freie Software (Altera), 
Programmieradapter kann man sich auch selbst bauen.

Kennt jemand eine gute Seite, die einem den Einstieg in dieses Thema 
ermöglicht? Mit Tutorials,... so gut wie Mikrocontroller.net, Schluchz. 
(Ein starterkit von Lattice kostet so um die 360 Euro, das ist mir zu 
viel.)

ciao
   Dirk

von Peter D. (peda)


Lesenswert?

Ich arbeite mit dem Coolrunner von Xilinx, z.B. XCR3064 im PLCC-44 
Gehäuse.

Du must nur den Chip besorgen.
Die Software kannst Du komplett von Xilinx runterladen.
Das Programmierkabel kannst Du selbst löten.
Also etwa 15 Euro sollten reichen.


Peter

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Ich verwende die ispLSI von Lattice. Von der Software ispLever (Abel, 
VHDL, Verilog, Schematic, Simulator) kann man eine Testversion für 6 
Monate runterladen. Es gibt eine sehr gute Einführung in die 
Programmierung mit Abel, schau mal in die Linkliste unter "CPLD".

@peter: was ist denn dieses "WebFITTER" von Xilinx? Heißt das dass man 
das "Kompilieren" immer online vornehmen muss, oder ist das nur eine 
Alternative zur normalen Software?

von Dirk Schlage (Gast)


Lesenswert?

Hallo.
Danke für die Hinweise. Oops, in der Linksammlung hatte ich noch nicht 
geschaut, dafür hatte ich in den Foren nach 'CPLD' gesucht.
Schade, man wird wohl nirgendwo so schön an der Hand genommen, wie in 
der AVR-Welt. Schliesslich findet man hier schnell mehrere dutzend 
Seiten, in denen jemand den Aufbau und die Verwendung eines ISP-Adapters 
beschreibt.
Die Seiten der Chiphersteller hatte ich mir schon vorher angeschaut.
Bei Xilinx hatte ich den Eindruck, die Verwenden diese Web-geschichte um 
Entwurfsmuster sammeln zu können, was ja auch keine schlechte Idee ist. 
Bei Lattice haben mich die 6 Monate Testzeit irritiert, danach kostet 
die Software immerhin 460 Dollar oder so ähnlich.
Atmel hat gar keine eigene Software, wenn ich nicht irre.
Altera hat anscheinend als einziger hersteller eine Version, die frei 
ist, lediglich ein Lizenzfile muss man sich übers Internet zuschicken 
lassen. Die Dokumentation von Altera habe ich beim 'durchblättern' als 
sperrig empfunden.
Bei Conrad gibt es im Katalog (Im Laden sagen die mir totsicher, dass 
ich es bestellen muss) für 112 Euro-Dollar ein Digilab picoMAX, das wird 
von El Camino GmbH Germany hergestellt. Sieht aber nicht so aus, als ob 
das einen ISP- bzw. JTAG-Programmer beinhaltet, wenn man seine Schaltung 
nicht auf dem Board selbst aufbauen will, muss man immer die Bausteine 
umhebeln, wenn man was Programmieren will. Und die Software ist 
wahrscheinlich die freie von Altera, also what shells. Ich glaube das 
Kit von Lattice hat einen separaten Programmierer, kostet aber bei MSC 
ca 360 Euro-Dollar plus Mettwurst. (Immerhin billiger als die Software 
alleine.)

Da es eh' nur eine Spielerei für mich wäre schau ich einfach mal weiter, 
ob sich was aufdrängt. Falls jemand hier zu fällig vorbeistolpert und 
noch einen heissen Tip hat...

ciao
   Dirk

von Ralf (Gast)


Lesenswert?

Hallo,

mußte im Studium mal mit den Altera MAX... arbeiten. Die fand ich 
eigentlich nicht schlecht. Wie Du schon sagst muß man sich einen Code 
schicken lassen (ist von der eigenen Festplattennummer abhängig). Zu der 
Zeit gab es auf der Altera Seite auch eine Schaltung für einen 
Parallelport Programmer. Der war glaube ich auch garnicht so aufwändig 
(meine ähnlich dem AVR-Adapter hier auf der Seite).

Gruß,

Ralf

von Alex1 (Gast)


Lesenswert?

Hallo..
Ich habe mit der Software von Altera schon mehrere Sachen gemacht und 
ich war begeistert. Ich habe aber keinen eigenen Jtag und auch keinen 
Schaltplan davon. Vielleicht bekommt man das ja im Internet.
Fuer mich war das die guenstige alternative fuer Spielereien.

Alex

von Ralf (Gast)


Lesenswert?

Hallo,

habe gerade nochmal nachgesehen. Ein Datenblatt für einen 
Parallelport-Adapter mit Schaltplan gibts hier:

http://www.altera.com/literature/ds/dsbytemv.pdf

Gruß,
Ralf

von Peter D. (peda)


Lesenswert?

Man kann von Xilinx das WebPack kostenlos und unbegrenzt downloaden:

http://www.xilinx.com/xlnx/xil_prodcat_landingpage.jsp?title=ISE+WebPack


Ich vermute mal das online Compilieren macht nur bei den großen (512 
Macrozellen) Sinn.
Auf dem alten 166-er Pentium hat es beim XCR3128 schon mehrere Minuten 
gedauert ehe er festgestellt hat, daß er etwas nicht gefittet kriegt. 
Auf dem 1200-er Athlon gehts aber schnell genug.

Ich benutze auch Abel als Programmiersprache.

Das Programmierkabel kann ich auf der Webseite jetzt nicht mehr finden.
Daher hier die Belegung aus dem alten Philips Datenbuch IC27:

PC Printer Port (DB-25)  10-pin-header  coolrunner
D0 (2)  1  TCK
D1 (3)  5  TDI
D2 (4)  3  TMS
Busy (11)  7  TDO
GND (18,19,20) / 2,4,8 / GND


Peter

von Micha (Gast)


Lesenswert?

Hi,

ich arbeite beruflich mit Altera und den FPGAs. Die Software (Max+plus 
oder für die neueren Bausteine Quartus) gibt es kostenfrei im Internet, 
wie oben beschrieben. Man muss sich dafür einen License-File schicken 
lassen, der (wie richtig bemerkt) von der Festplatten-ID abhängig ist.

Ein Entwicklungskit ist m.E. doch recht teuer, wenn man nur so damit 
rumbasteln will. Ein neueres Kit (NIOS) mit dem man auch einen uP in den 
FPGA programmieren kann kostet incl. Software ca.400euro.

von S.Hofmann (Gast)


Lesenswert?

Hallo

Ich habe für die ALTERA-Typen EPM7128/64 und EPM7064 ein 
Entwicklungsboard mal entwickelt. Ein JTAG-PROG ist auch dabei. Beide 
Leiterplatten sind noch unbestückt vorhanden. Von dem EPM7164 habe ich 
auch noch einige. Bei Bedarf schicke ich den Schaltplan und 
Bestückungsplan. Die Leiterplatten sind mit Lötstop und Doppelseitig. ( 
100 x 100mm )
Die Leiterplatten kosten etwa 20 EUR mit einem EPM7164.

Steffen

von Suschman (Gast)


Lesenswert?

Hi

Schon etwas länger her, aber der Kabelplan:
http://www.xilinx.com/support/programr/jtag_cable.pdf
Ne schönes HowTo gibts aber leider immer noch nicht :(

Mfg

von Andreas Auer (Gast)


Lesenswert?

Hi.

Ich hab selber vor kurzem begonnen mich mit CPLDs zu beschäftigen. Hab
die von Xilinx gewählt. Die Software gibts - wie oben schon erwähnt -
kostenlos im Internet zum Download. Ähnlich wie bei Altera muss man
sich auch hier ein License File zuschicken lassen. Danach funktioniert
alles eigentlich ohne Probleme!

Ich hab mir auch selbst ein Board geroutet. Darauf befindet sich der
CPLD (PLCC44 Gehäuse - passend für XC9536 und XC9572) das JTAG
Interface, ein 3,3V Spannungsregler und die I/Os des CPLD sind
natürlich auf Stiftleisten rausgeführt. Die JTAG Pins sind auch nochmal
extra auf eine Stiftleiste geführt... Damit könntest bei bedarf auch
weitere CPLDs oder FPGAs von Xilinx programmieren.

Hab auf meiner Homepage (www.mikrocontroller.at.tt) auch noch ein paar
Infos und ein Projekt (Logic Analyzer), wo ich das Board in Verwendung
habe!
Falls du Interesse hast und du kannst Platinen selbst machen, dann
schreib mir einfach ne Mail und du bekommst die Eagle Files. Ansonsten
hab ich auch noch Platinen da und auch Bauteile wären noch verfügbar!

mfg
Andreas

von Toto (Gast)


Lesenswert?

Hi

Ich will jetzt auch mal den Einstieg in die CPLD Programmierung wagen.
Als CPLD hab ich mir einen XC9572XL von Xilinx bestellt.

Jetzt habe ich noch ein paar Fragen zu dem Downloadkabel(Dongle).

In dem Post von peter dannegger ist aber eine andere Pinbelegung
angegeben als in dem Link von Suschman
http://www.xilinx.com/support/programr/jtag_cable.pdf

Also
PC Printer Port (DB-25)  10-pin-header  coolrunner
D1 (3)  1  TCK !!
D0 (2)  5  TDI !!!
D2 (4)  3  TMS
Select(13)  7  TDO !!!!
GND (18,19,20) / 2,4,8 / GND

Ausserdem sind noch D6(8) Busy(11) PE(12) warscheinlich als Erkennung
ob das Kabel angeschlossen ist oder nicht, verbunden.

Welche Pinbelegung der Druckerschnittstelle ist denn jetzt richtig,
oder sind die Belegungen für verschieden alte Versionen des Webpacks.

Grüsse

    Toto

von Frithjof Koenig (Gast)


Lesenswert?

Hallo, habe mir ein DIGILAB picoMAX zugelegt mit angeblich deutschem
Handbuch. Das Handbuch enthält alle Unterlagen inkl. Schaltplan und
Layout sowie ausführliche Hinweise zur Installation plus README.
Die README weist noch einmal auf die umfangreiche Dokumentation hin die
sich auf der mitgelieferten CD befindet. Ist auch vorhanden und mit 353
Seiten auch wirklich umfangreich, aber auchunübersichtlich. Leider
alles nur in Englisch.Es ist auch noch in japanisch und chinesisch zu
haben aber nicht in Deutsch.Eine Anfrage bei EL CAMINO GmbH. in
Mainburg klärte zwar die Lizenzfrage aber es gab keine Hilfe in Bezug
auf die Verwendung.Damit endete meine Begeisterung erst einmal und das
Gerät liegt jetzt seit September 2002 im Schrank.
Wer hilft mir da weiter, oder ist an einer Zusammenarbeit
interessiert.
m.f.G. Frithjof Koenig

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Schreib das mal lieber als neuen Beitrag hier im Forum, nicht als
Antwort auf einen bestehenden.

von Link (Gast)


Lesenswert?

[Quote]Welche Pinbelegung der Druckerschnittstelle ist denn jetzt
richtig,
oder sind die Belegungen für verschieden alte Versionen des Webpacks.
[/quote]

Die Nummern in Klammer stimmen jedenfalls mit den Bezeichnungen der
Parallelportsignale überein.

von Frithjof Koenig (Gast)


Lesenswert?

Hallo Herr Schwarz,

und bitte wie stellen Sie sich das vor.
Nutze ich den Verweis Einstieg in CPLD, lande ich immer wieder im
gleichen Fenster.Die Anfrage hat ja wohl zweifelsfrei fragenden
Charakter.Bitte helfen Sie mir da einmal weiter, ich nutze diesen
Informationsweg erstmalig.

m.f.G. Frithjof Koenig

von Dirk Schlage (Gast)


Lesenswert?

@Frithjof Koenig
Dieser Beitrag "Einstieg in CPLDs" ist ja nur ein Thread, und kein
Board, ich denke der Herr Schwarz wollte damit andeuten, daß du je
durchaus in Forum einen neuen Thread aufmachen kannst. (Für diese neue
Frage.)
Einfach oben in der grauen Leiste auf "neuer Beitrag" gehen.

Aber nun ist es mal so...

Ich kennen das Board von ElCamino auch nur von der Webseite her.

Ich selbst habe ein Board von ARROW. Ich habe ewig gezaudert, bis ich
ein Projekt mit Quartus zustande bekommen habe. Vieleich ist es bei dir
(?Ihnen?) ähnlich. Das Picomax hat den Byteblaster (oder was auch immer)
mit auf der Platine. Ansonsten verhält es sich - denke ich - wie jedes
andere Board mit einem Altera MAX, das von aussen programmiert wird.

Was genau ist ihr Problem?

von Frithjof Koenig (Gast)


Lesenswert?

Hallo Herr Schlage,

erst eimal danke für die Antwort.
Ich werde erst einmal die Anfrage, wie von Ihnen beschrieben, neu
plazieren.
Mein eigenliches Problem ist, ich kenne mich mit dieser Technik nicht
aus und finde den Einstieg nicht. Learning by doing ist da mein Weg.
Mir fehlt da irgend ein Ausgangsmuster. Ich habe eine Menge I/O Karten
gebaut, aber nie programmierbare Bausteine benutzt.
Ich wollte diese Bausteine für die Ansteuerung der Karten nutzen, da wo
ich heute noch ..688, ..245,etc einsetze. Es wäre eine saubere Lösung,
da ich aber all diese Dinge für den Bereich Modellbau einsetze stehe
ich mit meinen Problemen allein auf weiter Flur.

von Dirk Schlage (Gast)


Lesenswert?

Hallo,

Ich war seinerzeit erschlagen von der Fülle an Bedienmöglichkeiten in
Quartus und habe verzweifelt nach einem Tutorial "Wie erstelle ich ein
ganz kleines Projekt, das mit meinem Testboard eine Leuchtdiode
schaltet, wenn ich einen Taster drücke."
Leider habe ich dieses Tutorial nicht gefunden, weder auf deutsch noch
auf englisch. Quartus und auch Max+II haben einfach ewig viele
Möglichkeiten, daneben gibt es noch etliche Baustein Familien, MAX,
FLEX, APEX, und dann auch noch etliche Möglichkeiten in welcher Sprache
man entwickelt (VHDL, oder grafisch zum Beispiel, auch gemischt geht).
Ich habe in Quartus den New-Project-Wizzard aufgerufen, ein Verzeichnis
und einen Namen Für Das Toplevel-Dingens festgelegt, meinen Baustein
ausgewählt. Dann eine VHDL-Datei nach einem der Zahlreichen Beispeiele,
die man im Internet findet erstellt. Zwei Dinge die ich aufgeschnappt
habe erscheinen mir wichtig, ich merk mir aber leider nie was
wichtiges. Es muß in VHDL eine Funktion geben, die den Namen des
Projekts oder so ähnlich hat. Und man muß ein Pinfestlegung treffen.
Man wird relativ gut geführt in dem Programm.
Neben VHDL gibt es noch etliche andere Entwurfsmethoden, die von
Quartus unterstützt werden. (Für VHDL gibt es etlich Links, auch hier
in der Link-Liste. Oder
http://www.acc-eda.com/vhdlref/index.html
http://www.eda.ei.tum.de/forschung/vhdl/
)
Wenn das System diesen Entwurf dann übersetzen kann, kann man es auf
den Baustein laden.

Wenn Sie konkrete Fragen zu einem Problem mit VHDL, MAX+II oder Quartus
haben gibt es hier bestimmt noch etliche Leute, die weiterhelfen können.
Wenn ich mal wieder Zeit habe will ich mich hinsetzten und einfach mal
einen MAX auf einem Sockel in eine Experimentierplatine löten und von
aussen ein Programm nach dem Schema 'drückst du die Taste, mache ich
die Lampe an' in den Baustein laden. Was für ein Abenteuer.

servus
   Dirk

von Frithjof Koenig (Gast)


Lesenswert?

Hallo Herr Schlage,

danke für die Antwort, nett das Sie sich so viel Mühe gegeben haben.
Ich gebe mir selbst die größte Change, wenn ich es erst einmal auf der
grafischen Ebene versuche, da weiß ich wenigstens das meine Schaltung
stimmt. Was dabei heraus kommt wird man sehen. Stelle mir vor es ist
der leichtere Weg.
Endschuldigen Sie meinen Schreibstil, aber mit fast 70 Jahren kann man
sich nur sehr langsam umgewöhnen.

m.f.G.
Frithjof Koenig

von olaf koenig (Gast)


Lesenswert?

hallo frithjof dabei kann ich dir leider nicht weiterhelfen - melde dich
mal liebe grüße olaf

von sash (Gast)


Lesenswert?

Hi

This is uma shankar from india working as a front end Designer.


I am much familier with FPGA Spartan 3 board. I am steping into CPLD 
xcr3064pc44. I done a program for leds display. I downloaded and 
excuted,the program succeeded. but the out put for the leds not blinking 
(glowinh), what to do, please advice me how to do program in CPLD 
device. or send me sample program on the same..



Waiting for your earlier reply

Regards

shan

von Tobias P. (hubertus)


Lesenswert?

ich habe mich im geschäft auch etwas mit den MAX7000 (EPM7064 usw.) 
befasst.
zuhause möchte ich nun auch etwas basteln....
weiter oben hat jemand einen link zur website von altera gepostet. dort 
drunter findet man ein pdf, das die herstellung eines 
parallelport-kabels (ByteBlaster) beschreibt.
sehe ich das richtig, dass ich mir kein teures programmiergerät 
anschaffen muss, sondern nur diese kabel brauche, das mit meinem 
MAX7000S verbinde, und dann direkt aus Quartus II mein file 
herunterlade?
oder brauche ich da externe hardware am pc?
ihr wisst das sicher und könnt mir da weiterhelfen ;)

übrigens, nur so am rande:
wie wird ein MAX7128E programmiert? der hat ja kein JTAG. da brauchts 
dann ein programmiergerät oder?

es grüsst
     tobias

von Roger S. (edge)


Lesenswert?

Ein ByteBlaster ist schnell gebastelt, und ja, dann kannst du damit 
ueber QuartusII dein design ein MAX7000S programmieren.

> oder brauche ich da externe hardware am pc?

Na der ByteBlaster halt, und dein Target.

> wie wird ein MAX7128E programmiert? der hat ja kein JTAG. da brauchts
> dann ein programmiergerät oder?

yep.

Cheers, Roger

von Tobias P. (hubertus)


Lesenswert?

aber wenn ich das recht verstanden habe ist der byteblaster nichts 
anderes als ein spezielles kabel oder?
ich habe die dokumentation von altera nicht gelesen, nur kurz überflogen 
und die bilder angeschaut. sah mehr nach einem kabel aus als nach 
externer hardware ;)
roger, du weisst nicht zufälligerweise wie man sich so ein 
programmiergerät basteln kann oder? kann man es überhaupt? oder ist das 
extrem kompliziert, aufwändig und nur dem fachmann vorbehalten?

grüsse
      tobias

von Roger S. (edge)


Lesenswert?

der byteblaster hat einfach ein bustreiber / levelshifter drinn und ist 
recht simpel zum nachbauen, falls man loeten kann.
Schema gibts bei Altera, hab das Dokument nicht grad zur Hand, aber 
sollte dort auffindbar sein.

Cheers, Roger

von Günter R. (galileo14)


Lesenswert?

Im Elektor 5/2004 ist ein Eva-Board für die MAX7000-Bausteine von Altera 
beschrieben; von Elektor (bzw. dem Platinen-Händler Geist-Electronic) 
gibt's auch ein JTAG-Board für Parallelport dafür. Diese Sachen sind 
recht brauchbar, damit habe ich (mit Quartus II) angefangen. In Quartus 
gibt's auch ein Tutorial; wenn man das Schritt für Schritt 
durcharbeitet, kapiert man, wie man vorgehen muß.

von Tobias P. (hubertus)


Lesenswert?

@günter:
ja, in quartus II konnte ich leider noch nicht nachschauen, weil ich die 
software noch nicht habe.
da ich nicht über dsl verfüge, muss ich warten, bis ein kollege für mich 
zeit hat und das zeugs für mich runterlädt ;)
ich schau mal auf der altera-website nach, wo sich das schema findet.
schönen tag noch!

von Clemens (Gast)


Lesenswert?

Hallo,

Ich habe mit Interesse die Diskussion verfolgt, da ich auch mit CPLD's 
anfangen möchte - Nun habe ich noch ein paar Fragen:

Soweit ich verstanden habe, wird mit Quartus (altera)/ISE (xilinx) ein 
File erstellt, das dann über ein Parallelportkabel auf den Chip geladen 
wird.

Nun arbeite ich auf einem Notebook, das keinen Parallelport (mehr) 
hat... Ich hätte aber noch einen alten PC (900MHz, 256MB) mit 
Parallelport - Läuft auf diesem noch die freie Version von Quartus/ISE 
oder ist das hoffnungslos zu langsam ? Bzw ist es möglich, daß ich 
Quartus/ISE auf meinem Notebook installiere und dann nur die 
Programmiersoftware (den 'Treiber' für das Parallelportkabel) auf meinem 
alten Rechner laufen lasse ? Läßt sich diese trennen ?

Es gibt ja auch USB Kabel, aber die sind doch wesentlich teurer und will 
ich mir daher nicht zulegen...

Vielen Dank wenn jemand seine Erfahrungen mit mir teilen kann,

Clemens

von Tobias P. (hubertus)


Lesenswert?

tach clemens,
in der firma verwenden wir quartus II u.a. auch auf einem sehr alten 
rechner (w98, 128 ram).
offiziell läuft die software unter den bedingungen nicht, aber das passt 
schon. ist halt dann saulangsam, und gelegentlich stürzt das zeug ab, 
aber wenn man sich nicht extra einen neuen pc zulegen will, weil der 
aktuelle keinen parport hat, gehts schon. du willst ja keine 
massenproduktin betreiben oder? ;)

wenn du qzartus II von altera.com runtergeladen hast (>480MB), dann 
kannst du mit der software dein scema zeichnen, das in den pld soll. 
will heissen:
du kannst einzelne törchen (or, nand, or... was du willst) im schema 
platzieren, mit den pins des pld verbinden und untereinander verdrahten. 
ist ansich kinderleicht, braucht aber ein gewisses gewusst-wie. ich habe 
alles nach dem verfahren "try and error" gelernt, also kann es nicht so 
schwer sein ;)))

für einen quartus II-crashkurs kannst du dich ja mal per PM melden.

gruss & schönen abend

von Rick Dangerus (Gast)


Lesenswert?

@clemens:

> Soweit ich verstanden habe, wird mit Quartus (altera)/ISE (xilinx) ein
> File erstellt, das dann über ein Parallelportkabel auf den Chip geladen
> wird.
Richtig.


> Ich hätte aber noch einen alten PC (900MHz, 256MB) mit
> Parallelport - Läuft auf diesem noch die freie Version von Quartus/ISE
> oder ist das hoffnungslos zu langsam ?
Ich hab ISE mit 500Mhz/512MB am laufen. Es ist langsam, aber läuft. Der 
RAM wird erst bei FPGAs wichtig:
http://www.xilinx.com/ise/products/memory.htm

> Bzw ist es möglich, daß ich
> Quartus/ISE auf meinem Notebook installiere und dann nur die
> Programmiersoftware (den 'Treiber' für das Parallelportkabel) auf meinem
> alten Rechner laufen lasse ? Läßt sich diese trennen ?
Ja, auch das ist möglich.

> Es gibt ja auch USB Kabel, aber die sind doch wesentlich teurer und will
> ich mir daher nicht zulegen...
M.E. gibt es inzwischen dafür auch Nachbauten...

Rick

von Wolfgang S. (Firma: EWW) (dl2aax)


Lesenswert?

Hallo Logik-Spezialisten!
Noch an CPLDs und XPLAs interessiert? Vielleicht habe ich da was zum 
Programmieren. Dazu brauche ich aber wiederum Ihre Hilfe.
Bis vor 3 Jahren habe ich mich intensiv mit CoolRunnern beschäftigt. 
Begonnen habe ich, als Philips sie auf den Markt brachte. Doch dann kam 
Xilinx und alles wurde schwierig. Positiv erinnere ich nur, dass
Xilinx isp einführte und dafür eine Software namens IMPACT anbot (ich 
habe Version 4.1), zu der nur ein Kabel vom Druckerport zu den 4 
JTAG-Pins ohne jede Elektronik benötigt wurde. (Später gab es wohl 
Versionen mit aktivem Kabel). Ich habe das Kabel, 1,5 m lang, selbst 
hergestellt und damit eine Reihe von XCR3064 problemlos programmiert. 
Vor 3 Jahren wollte ich endgültig damit aufhören. Aber jetzt brauche ich 
es ganz dringend für meine Arbeit noch einmal und meine alt gewordenen 
grauen Zellen machen mir das Leben schwer.
Vielleicht kann da jemand helfen.
Ich erinnere folgendes:
Impact sucht sich Port, Kabel und JTAG Chain selbst.
Vorher kann das JEDEC file nicht geladen werden, weil das bei DATEI 
ÖFFNEN vorher noch nicht angeboten wird.
Aber bei der Suche nach dem Port gab es immer wieder Probleme, weil 
IMPACT ihn nicht findet. Ich habe das nie völlig klären können, glaube 
aber zu erinnern, dass vorher etwas getan werden musste, was 
vordergründig nichts mit der hardware zu tun hat (oder war das nötig, um 
das JEDEC file laden zu können?) Ist jemand interessiert?
Gruß DL2AAX

von Uwe Bonnes (Gast)


Lesenswert?

Ist der Windriver Kerneltreiber geladen? Ist der Port im Bios richtig 
eingestellt? Gehen andere Applikationen am parallelen Port? Gehen andere 
Applikationen fuer den Programieradapter?
Unter Linux ist das Windrivermodul immer ein Problem. Hier in den 
Tutorials gibt es Hinweise darauf.

von Tobias P. (hubertus)


Lesenswert?

Hallo Wolfgang,
verwendest du Windows? Ist IMPACT eine Windows-Software?
Ich pröble grade mit einem ähnlichen Problem herum. Der 
Parallelport-Zugriff funktioniert unter Windows NT und höher leider 
nicht mehr so richtig, wie das unter Windoes 9x bzw. DOS der Fall war. 
Das habe ich schmerzlich bei einer Debuggersoftware feststellen müssen 
(BD32 Background Mode Debugger für den Motorola MC68332 
Mikrocontroller).

Versuch mal, das ganze unter einer älteren Windows-Version laufen zu 
lassen (z.B. 95). Vielleicht klappts... Oder aber versuch folgendes:

unter BeyondLogic.Net (oder war's .com?) findet sich ein Programm namens 
PortTalk. Das hat eine spezielle kleine Anwendung namens AllowIO.EXE 
dabei. Startest du AllowIO über die Konsole von Windows, dann kann das 
Programm irgendwie die Ports des PCs so freischalten, dass der 
Hardwarezugriff auch unter NT und höher klappen sollte.

Weiss zwar nicht, obs bei dir an dem Problem liegt, aber einen versuch 
wäre es ja wert, wenn du so tolle CPLDs hast, die sich ohne zusätzliche 
Hardware programmieren lassen.

Das interessiert mich auch gleich... mal googlen ;)

Grüsse
  Tobias

von DL2AAX (Gast)


Lesenswert?

Hallo Uwe, hallo Tobias,
die Portzugriff-Problematik ab Win ME ist mir bekannt. Das Impact läuft 
aber bei mir noch unter Win 95 bzw. 98. Ich habe mit einem Digital-Scope 
vergeblich versucht, Aktivitäten auf den JTAGs zu sehen. Leider habe ich 
das früher nicht versucht, so dass ich keine Vergleiche habe. Allerdings 
kann ich Aktivität auf den Leitungen unter Win sehen, bevor ich Impact 
starte. Impact meldet, dass es nacheinander LPT1, 2 und 3 untersucht und 
nichts findet (ich hoffe, es setzt auch die richtigen Adressen dazu 
auf). Ich habe außer der Mainboard-LPT auch noch eine alte I/O-Karte 
stecken, aber auch mit der tut sich nichts.
Uwe, Deine zweckdienlichen Fragen kann ich wegen akutem Zeitmangel im 
Augenblick nur teilweise beantworten. Vor kurzem hatte ich noch einen 
Drucker an einer LPT hängen und der ging. Ich werde das, sobald möglich, 
verifizieren. Außerdem habe ich einen Dongle für einen PCB-Router und 
der tut auch. (War aber bei Impact nicht drangesteckt.) Das mit dem 
BIOS-Eintrag müsste ich im Setup nachsehen. Ich wusste nicht, dass da 
etwas relevantes steht. (Bin auch lange nicht mehr im Setup gewesen.)
Zunächst danke für Eure Hilfe, ich hoffe, das Problem lässt sich mit 
Eurer Unterstützung lösen.
Gruss, Wolfgang

von Tobias P. (hubertus)


Lesenswert?

hallo Wolfgang,
vielleicht hat dein Parallelport ja eine falsche IO-Adresse. Guck mal 
unter Systemsteuerung, System, Hardware, Gerätemanager, dann im Ordner 
"Anschlüsse (COM und LPT), rechte Maustaste auf "Parallelport" oder 
"Druckeranschluss", dann Eigenschaften, Registerkarte Ressourcen. Der 
Wert "EA-Bereich", der in der Liste dann erscheint, sollte entweder 
0x378-0x37F lauten oder aber 0x278-0x27F. irgendwie was in dem Stil... 
vielleicht greift ja IMPACT über diese IO-Adresse auf den Priterport zu? 
Und wenn der Port (aus was für Gründen auch immer) eine Andere Adresse 
hat, findet IMPACT nichts mehr.

Grüsse
 Tobias

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.