Forum: FPGA, VHDL & Co. zu viele unconnected warnungen bei synthese


von Harald (Gast)


Lesenswert?

Hallo,

bei der Synthese meines Prozessors (Diplomarbeit) bekomme ich massiv 
viele Warnungen bei der Optimierung meines RAM Moduls, dass irgendetwas 
nicht angeschlossen ist. (Die Simulation läuft einwandfrei)

Genau gesagt sind laut Synthesetool alle meine Register des Prozessors 
(Datenregister, Befehlsregister, Befehlszähler, etc.) nicht 
angeschlossen und werden deswegen wohl wegoptimiert.

Dieser Effekt tritt dann ein, wenn ich diese leere Architektur:
1
entity memoryinterface is
2
   port (   
3
        clk:            in  std_logic;
4
        address:        in  std_logic_vector(31 downto 0); 
5
        access_type:    in  std_logic_vector(2 downto 0); -- we, oe, cs
6
        data_in:        in  std_logic_vector(31 downto 0);
7
        data_out:       out std_logic_vector(31 downto 0);
8
        -- ...
9
    );
10
end memoryinterface;
11
12
architecture dummy of memoryinterface is
13
begin
14
end;

gegen die richtige Implementierung austausche. Selbst wenn ich lediglich 
diese Architektur:
1
entity memoryinterface is
2
architecture loop of memoryinterface is
3
begin
4
    data_out <= data_in 
5
end;

auswähle oder data_out irgendeinen Zufallswert zuweise, wird der 
komplette Prozessor wegoptimiert.

Ich bin wirklich am Verzweifeln damit und bin wieder einmal für jede 
Hilfe sehr dankbar.

Harald

PS: Ich habe den Synthesebericht angehängt. Im unteren Drittel sind 
unübersehbar die ganzen "unconnected" Signale.

von Harald (Gast)


Angehängte Dateien:

Lesenswert?

Das mit dem Dateianhang hat nicht funktioniert, ich probiere es noch 
einmal ...

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Hat der Prozessor noch andere Ausgänge als das Speicherinterface? Alles 
was keine Auswirkungen auf die Ausgänge hat wird wegoptimiert.

von Harald (Gast)


Lesenswert?

Nein, bis auf den Speicher hat der Prozessor keine Ausgänge, braucht er 
aber eigentlich auch nicht. Gibts eine Möglichkeit dieses Wegoptimieren 
zu verhindern?

von Falk B. (falk)


Lesenswert?

Also die Warning mit den unconnect Signal kann man ignorieren. Aber 
nicht die der fehlenden Signale in der Sensitivity list und dass Latches 
erzeugt wurden!

MfG
Falk

von Harald (Gast)


Lesenswert?

Kleiner Nachtrag: Es lag tatsächlich daran, dass der Prozessor keine 
Ausgänge hat, vielen Dank für den Hinweis. Ich hab jetzt einfach mal ein 
Register an den Ausgang gelegt und die Synthese läuft einwandfrei!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.