Forum: FPGA, VHDL & Co. CPLD Xilinx XC 9572XL wird heiß und stirbt


von Patrick A. (dh2pa)


Angehängte Dateien:

Lesenswert?

Im Rahmen eines Ausbildungsprojektes wird bei uns ein CPLD Kurs 
abgehalten. Benutzt wird der CPLD XC9572XL der Firma Xilinx. Wegen der 
einfachen und schnell erlernbaren Eingabe wird die Software Xilinx 
Foundation 2.1i verwendet, da hier ein Schematic Editor zur Verfügung 
steht. Der CPLD wird während des Kurses mit Hilfe eines 
Parallel-Download Kabels öfter beschrieben (ca. 30 mal)  Um etwas mit 
den CPLDs zu experimentieren haben wir uns Experimentierplatinen mit 
PLCC44 Fassungen gebaut. Zur CPLD Platine gibt es dann auch 
Zusatzplatinen wie Ampelanlage, 7-Segmentanzeige, Schrittmotor usw. die 
über einen 19“ Rahmen mit der CPLD Platine verbunden werden.
  Das alles funktioniert eigentlich auch, bis auf eine Kleinigkeit, bei 
der ich bis jetzt weder eine Begründung, noch eine Lösung gefunden habe. 
Ab und zu wird der CPLD sehr heiß und ist danach nicht mehr zu 
verwenden. Ich konnte noch nicht klären unter welchen Voraussetzungen 
dies geschieht. Ich wechsle den Defekten CPLD aus und beschreibe den 
Neuen mit dem selben Programm, im selben Rahmen, mit der gleichen 
Hardware und er läuft, ohne spürbare Erwärmung. Wir haben hier 10 
Systeme am laufen und das Problem tritt öfter  auf, das heißt es kann 
bei jedem System auftreten.

Evtl. kennt hier jemand das Problem und kann helfen?

Der CPLD wird mit 3,6V betrieben (Pin 21,32,41) GND an Pin 10,23.31
Als Eingänge verwenden wir einen Taster mit Pull-Up-Widerständen an  Pin 
12+13 bzw. ein Quarzoszillator an Pin 5. Die Ausgänge werden direkt über 
eine Steckerleiste auf den Bus geführt, können also problemlos getrennt 
werden. Auch bei getrennten Ausgängen ist der Fehler schon vorgekommen.


Patrick,DH2PA

von Rick Dangerus (Gast)


Lesenswert?

Was passiert mit den unbenutzten Pins? Können die floaten und damit 
ordentlich Strom ziehen?

Rick

von Mike (Gast)


Lesenswert?

Eventuell ESD? Spitzen auf der Versorgungsspannung? Funktioniert das 
CPLD noch wenn man es rechtzeitig ausschaltet? Klingt irgendwie nach 
Latch Up. Vielleicht mal eine Strombegrenzung in die Spannungsversorgung 
einbauen.

http://de.wikipedia.org/wiki/Latch-Up-Effekt

von Holger (Gast)


Lesenswert?

Bitte mal lesen.
Beitrag "Xilinx XC9572XL Kurzschluss?"
Besorg dir mal den XC9572 für 5 Volt.
Mach mal den Johson Counter (Lauflicht ) da mit VHDL mit ISE-Web-Pack 
z.B 8.x
Wie geht das mit der alten 2.1 Soft bei den neuen 9572XL Typen da
überhaupt rein.
Was wird da für ein JEDEC File erzeugt,vom Fitter ???.

Gruss Holger

von Bernd G. (Gast)


Lesenswert?

Hatte ich auch, aber in einem anderen Zusammenhang:

Beim Burst-Test (Teil der EMV-Prüfung) habe ich mit einer 
Versuchsanordnung
zur Precompliance-Testung (Relais in Selbstmordschaltung zur Erzeugung 
hoher Impulsspannnungen) es mehrmals geschafft, den XC9536XL und 
XC9572XL
zu "entprogrammieren". Dabei wurde während des Betriebes der CPLD 
funktionslos (Tristate?). Mit Wiedereinschalten der Speisespannung wurde 
der Stein wieder neu geladen und alles lief weiter.
In Einzelfällen (zweimal) habe ich ihm damit auch das Lebenslicht 
ausgeblasen (Wärmetod).
Das passiert dann, wenn die JTAG-Leitung während des Betriebes mit 
längeren Leitungen aus der Schaltung heraushängen bzw. am leerlaufenden 
Programmerkabel hängen.
Darüber können offenbar Störimpulse eingefangen werden, die den Stein in
unkontrollierter Weise umprogrammieren.
Abhilfe wurde durch Verkürzung der offenen JTAG-Leitungen auf ca 2 cm 
bzw.
Abziehen des Programmerkabels erreicht.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.