Hallo Experten, wieso ändert denn die letzte Zeile des folgenden Codes sig_char !!?? Reale hardware, ISE 7.1
1 | library IEEE; |
2 | use IEEE.STD_LOGIC_1164.ALL; |
3 | use IEEE.STD_LOGIC_ARITH.ALL; |
4 | use IEEE.STD_LOGIC_UNSIGNED.ALL; |
5 | use IEEE.NUMERIC_STD.ALL; |
6 | ....
|
7 | signal sig_char : std_logic_vector(7 downto 0); |
8 | sig_char <= "01010101"; |
9 | sig_char <= conv_std_logic_vector(conv_integer(sig_char),8); |
Cheers Detlef