> GSR als Resetsignal
GSR ist das Globale Set-Reset-Netzwerk. Das wird zum einen verwendet, um
nach der Konfiguration die Register auf einen definierten Zustand zu
setzen, zum anderen kann damit ein externer Reset auf das ganze FPGA
geroutet werden.
Du willst offenbar "nur" Defaultwerte nach der Konfiguration haben. Das
geht bei Xilinx ganz einfach über die Zuweisung von
Initialisierungwerten bei der Instatiierung der Signale.
Soll die Initialisierung eines Signals ungleich null sein, kann das
angegeben werden. Wird z.B. geschrieben
1 | signal irgendwas : std_logic := '1';
|
dann hat das Signal nach der Konfiguration den Wert '1'. Bei
1 | signal irgendwasanderes : std_logic_vector(7 downto 0) := x"aa";
|
wird das Signal mit dem Wert 0xAA initialisiert. Auf die selbe Weise
kann z.B. eine SM auf den Start-Zustand (hier AUS) gesetzt werden:
1 | type Status_Type is (START, MITTE, SCHLUSS, AUS);
|
2 | signal status : Status_Type := AUS;
|
Mit deiner Beschreibung
1 | entity s3e_core_body is
|
2 | port(
|
3 | CLK_50MHZ: in std_logic;
|
4 | reset : in std_logic;
|
5 | SW : in std_logic_vector(3 downto 0);
|
6 | LED : out std_logic_vector(7 downto 0)
|
7 | );
|
8 | end s3e_core_body;
|
deklarierst du ja wirklich ein Signal reset, und das will auch
irgendwohin verdrahtet sein ;-)