Forum: FPGA, VHDL & Co. report bzw. textio von std_logic_vector in Hex und Bin


von Matthias G. (mgottke)


Lesenswert?

Hallo,

in einer Testbench möchte ich gerne Reports und Text-Files von 
"std_logic_vector"en mit variablen längen erzeugen. So eine art 
std_logic_vector'image für Hexadezimale und eine Binäre Darstellung.

Weiß jemand eine einfache Lösung, bzw. hat jemand dafür schon mal eine 
Funktion geschrieben wie z.B: slv2string(slv_vektor, format) oder 
slv2hexstring(slv_vektor)?

Danke Matthias

von Tuffke (Gast)


Lesenswert?

Hallo

eigentlich braucht man dafür keine neuen Funktionen.
In der Bibliothek ieee.std_logic_textio gibt es Prozeduren wie:
write()  -- (binäre Ausgabe)
hwrite() -- (hexadezimale Ausgabe)
owrite() -- (oktale Ausgabe)

Als Parameter erwarten diese mindesten einen line buffer sowie den
auszugeben vector.

Gruß Tuffke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.