Forum: FPGA, VHDL & Co. vhdl pipeline struktur


von Rainer Zufall (Gast)


Lesenswert?

hallo zusammen -

ich habe eine frage bzgl pipelining..
ich habe eine schaltung in vhdl zu umzusetzen. dabei möchte ich mehrere 
sachen parallel ausführen.. da der vhdl code später auf einem virtex4 
laufen soll meine frage:
ist es performance technisch machbar / sinnvoll 2 multiplikationen von 
jeweils 21bit*16bit und 16bit*16bit parallel auszuführen ? oder soll ich 
die multiplikationen lieber nacheinander ablaufen lassen?

wäre über vorschläge dankbar.

grüße, rainer

von Christian R. (supachris)


Lesenswert?

Da lacht der Virtex doch drüber. Der hat doch eingebaute DSP48 Slices, 
auf denen die Multiplikationen ausgeführt werden. Da geht nicht mal 
normale Logik dafür drauf. Und je nach Typ kannst du dann einige hundert 
18x18 Multiplikationen pro Takt ausführen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.