Forum: FPGA, VHDL & Co. Final State Machine


von jochen (Gast)


Lesenswert?

moin moin

bin grad auf der Suche nach einer final state machine, aber ich hab ned 
wirklich den Peil. Hat jemand ein gutes Tutorial oder sogar ein fertigen 
code für eine fsm?


danke

von D. I. (Gast)


Lesenswert?

Probiers mal mit Google mit dem Begriff "Finite State Machine" statt 
"Final State Machine"

von jochen (Gast)


Lesenswert?

ja des hab ich nach dem absenden auch gemerkt das ich final geschrieben 
hab. eigentlich sollte es finite state machine heißen

von Markus S. (m_schnell)


Lesenswert?

(Finite State Machine == Automat)

Versuch's doch mal so:
1
typedef enum { STATE_1, STATE_2 } State;
2
typedef enum { EVENT_1, EVENT_2 } Event;
3
4
State state = STATE_1; /* Initial state */
5
6
void state_1_handler(Event event)
7
{
8
    switch (event)
9
    {
10
         case EVENT_1: xyz(); state = STATE_1; break;
11
         case EVENT_2: abc(); state = STATE_2; break;
12
         default: ASSERT_FAIL("unknown event"); break;
13
    }
14
}
15
16
void state_2_handler(Event event)
17
{
18
    switch (event)
19
    {
20
         case EVENT_1: foo(); state = STATE_2; break;
21
         case EVENT_2: bar(); state = STATE_1; break;
22
         default: ASSERT_FAIL("unknown event"); break;
23
    }
24
}
25
26
void main(void)
27
{
28
    Event event;
29
30
    while (1)
31
    {
32
        event = get_event();
33
        switch (state)
34
        {
35
            case STATE_1: state_1_handler(event); break;
36
            case STATE_2: state_2_handler(event); break;
37
            default: ASSERT_FAIL("unknown state"); break;
38
        }
39
    }
40
}

Man kann das in tausend Varianten implementieren.

von TheMason (Gast)


Lesenswert?

eine statemachine in vhdl wird dann z.b. so beschrieben :

...

signal state : std_logic_vector (3 downto 0);

...

process (clk, res)
begin
  if res = '1' then
    state <= X"0";

    ...
    ... weitere signale die resettet werden und in
    ... diesem prozess verwendet werden
    ...

  elsif clk'event and clk = '1' then
    case state is
      when X"0" => if .... then
                     ...
                     state <= X"..";
                   else
                     ...
                     state <= X"..";
                   end if;
      when X"1" => if .... then
                     state <= X"..";
                     ...
                   else
                     state <= X"..";
                     ...
                   end if;
      when X"." => if .... then
                     state <= X"..";
                     ...
                   else
                     state <= X"..";
                     ...
                   end if;
      when X"." => if .... then
                     state <= X"..";
                     ...
                   else
                     state <= X"..";
                     ...
                   end if;
      when others => NULL;
    end case;
  end if;
end process;


so beschreibe ich immer statemachines in vhdl (wobei ich nicht bei 
jedem-state ein if oder gar if-else statement habe. aber das ist ja die 
implementierung der states selbst.

von B. B. (bib)


Lesenswert?

Solltest Du eine State Machine beschreiben wollen, welche besonders 
sicher gegen Störungen ist möchte ich Dir noch das Schlagwort "one hot 
encoding" ans Herz legen.

Gruß

B.B.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Angehängte Dateien:

Lesenswert?

> welche besonders sicher gegen Störungen ist möchte ich Dir noch das
> Schlagwort "one hot encoding" ans Herz legen.
Das Ziel von One-Hot codierten FSM ist nicht die Störfestigkeit. 
Besonders in dieser Disziplin sieht die One-Hot FSM ganz schlecht aus, 
denn es gibt (2^n)-n undefinierte Zustände. One-Hot wird auf FPGAs 
deshalb ganz gern implementiert, weil dann keine zusätzliche Logik zum 
ausdecodieren des aktuellen Zustands nötig ist. Denn jeder Zustand wird 
bereits durch ein einziges FF repräsentiert.

Wie eine FSM implementiert wird, kann ich idR. einfach in den 
Syntheseeinstellungen der Toolchain auswählen. Ob undefinierte Zustände 
abgefangen werden, wird dann mit der Option Safe Implementation 
ausgewählt (Screenshot).


Interessanter dürfte aber das Thema Ein- oder Zwei- (oder noch mehr) 
Prozess-Schreibweise von FSM sein. Das habe ich dort mal 
zusammengefasst: 
http://www.lothar-miller.de/s9y/categories/37-FSM-Schreibweisen

EDIT: Siehe auch Beitrag "Typedefinition mit 3 Zustaenden"

von Chris D. (myfairtux) (Moderator) Benutzerseite


Lesenswert?

Hier klinke ich mich mal ein:

Mir wäre es am liebsten, wenn man z.B. grafisch mit Knoten und Kanten 
die komplette FSM beschreiben (und vielleicht auch testen) könnte und 
dann daraus der passende Code (z.B. in C) generieren könnte.

Das Ganze sollte also möglichst abstrahiert ablaufen.

So etwas gibt es doch sicherlich.
Vermutlich suche ich nur mit den falschen Begriffen :-(

Chris D.

von Mathi (Gast)


Lesenswert?

Ja, sowas gibt es. Z.B. den FSM-Designer.
Mehrere solcher Tools werden auf der englischen Wikipedia-Seite zu FSM 
verlinkt.
http://en.wikipedia.org/wiki/Finite_state_machine

von Chris D. (myfairtux) (Moderator) Benutzerseite


Lesenswert?

Arghh, da habe ich natürlich nicht gesucht.

Vielen Dank für den Hinweis - das ist genau das, was ich gesucht habe 
:-D

Chris D.

von B. B. (bib)


Lesenswert?

Lothar Miller schrieb:
> Das Ziel von One-Hot codierten FSM ist nicht die Störfestigkeit.

Habe mich diesbezüglich noch mal belesen - merci für die Info man lernt 
nie aus....

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.