Forum: FPGA, VHDL & Co. neue Typen in VHDL


von Andreas (Gast)


Lesenswert?

Ich wollte mir einen neuen Typen erstellen. Soll einem unsigned long aus 
C entsprechen.

...
library IEEE;
use ieee.std_logic_arith.all;
use IEEE.numeric_std.all;
use IEEE.std_logic_1164.all;
...
package matrix is

   type ulong is std_logic_vector (15 downto 0);
...

Wenn ich das aber so mache bekomme ich den Fehler:
# ** Error: ../vhd/mat_pkg.vhd(9): near "std_logic_vector": syntax error

fehlen mir irgendwo Klammern?

DANKE
Andreas

von Midnight (Gast)


Lesenswert?

Wenn Du anstelle von
1
type ulong is std_logic_vector (15 downto 0);
2
3
4
subtype ulong is std_logic_vector (15 downto 0);

schreibst sollte es gehen.

LG
Midnight

von Andreas (Gast)


Lesenswert?

DANKE!

Jetzt funktioniert es.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.