Hallo!
Ich habe einen einfachen Mux gebastelt, der mir 8 8bit std_logic_vector
auf einen muxt:
1 | library IEEE;
|
2 | use IEEE.STD_LOGIC_1164.ALL;
|
3 | use IEEE.NUMERIC_STD.ALL;
|
4 |
|
5 | package MY_PKG is
|
6 |
|
7 | type SLV_ARRAY is array (7 downto 0) of STD_LOGIC_VECTOR (7 downto 0);
|
8 |
|
9 | end MY_PKG;
|
10 |
|
11 | library IEEE;
|
12 | use IEEE.STD_LOGIC_1164.ALL;
|
13 | use IEEE.NUMERIC_STD.ALL;
|
14 | use WORK.MY_PKG.ALL;
|
15 |
|
16 | entity my_mux is
|
17 | Port
|
18 | (
|
19 | regs_in : in SLV_ARRAY;
|
20 | reg_out : out STD_LOGIC_VECTOR (7 downto 0);
|
21 | reg_adr : in STD_LOGIC_VECTOR (2 downto 0)
|
22 | );
|
23 | end my_mux;
|
24 |
|
25 | architecture Behavioral of my_mux is
|
26 |
|
27 | begin
|
28 |
|
29 | reg_out <= regs_in(to_integer(unsigned(reg_adr)));
|
30 |
|
31 | end Behavioral;
|
"View Technology Schematic" zeigt mir ein ziemliches Wollknäuel- scheint
also zu funktionieren.
"View RTL Schematic" zeigt jedoch nur einen "Mux- Baustein" an
regs_in(0), reg_adr und reg_out. Die anderen regs_in sind
unbeschaltet...
Wieder ein Bug oder habe ich mich mal wieder verprogrammiert?
Danke!