Forum: FPGA, VHDL & Co. std_ulogic_vector


von freak (Gast)


Lesenswert?

Wie muss ich das folgende umwandeln um zwei std_ulogic_vectoren 
variabler länge zu addieren?

function "+" (
  constant l, r : std_ulogic_vector )
  return std_ulogic_vector is
  variable result : std_ulogic_vector(l'left downto l'right);

  begin

result := 
std_ulogic_vector(conv_std_logic_vector(unsigned(l)+unsigned(r), 
get_wid(l)));

return result;

end "+";

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.