Forum: FPGA, VHDL & Co. ISE Core Generator Modules im EDK nutzen


von Denis D. (Firma: Uni Paderborn) (bigmasterdenis)


Lesenswert?

Hallo Leute,

bin noch recht neu in der FPGA-Welt... Ich habe mir mit ISE ein core 
generieren lassen, "float_arith". Nun würde ich dies gerne irgendwie in 
meinem Top-Modul instanziieren können. Das Top-Modul habe ich mit EDK 
generiert, es liegt also im /pcores Ordner und kann problemlos 
synthetisiert werden. Die Frage ist jetzt, wie kriege ich EDK dazu 
"float_arith" zu finden, sodass ich es problemlos im Top-Modul 
instanziieren kann? Welche Dateien aus dem Ordner "float_arith", (im ISE 
Projekt Ordner) muss ich wohin kopieren?

Danke und Gruß
Denis D.

von D. I. (Gast)


Lesenswert?

In dem dus als ein Peripheral einbindest und in einer .pao datei die 
entsprechenden Dateien angibst

von Denis D. (Firma: Uni Paderborn) (bigmasterdenis)


Lesenswert?

Wie genau binde ich denn das als ein Peripheral ein? Und welche Dateien 
soll ich in der .pao angeben? .ngc?

von D. I. (Gast)


Lesenswert?

Bevor ich mir einen Wolf schreibe:

http://www.fpgadeveloper.com/2008/10/tri-mode-ethernet-mac.html

Hier ist das Vorgehen recht gut erklärt was man machen muss um ein 
Peripheral aus ISE einzubinden

von Denis D. (Firma: Uni Paderborn) (bigmasterdenis)


Lesenswert?

Super! Danke, genau sowas hatte ich gesucht :-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.