Forum: FPGA, VHDL & Co. Fehler NUMERIC_STD.TO_SIGNED: vector truncated


von Chris (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

ich bekomme folgende Fehler:

** Warning: NUMERIC_STD.TO_SIGNED: vector truncated
#    Time: 0 ps  Iteration: 2502  Instance: 
/testbench/init_top_1/transshiftreg_1
# ** Warning: NUMERIC_STD.TO_SIGNED: vector truncated
#    Time: 0 ps  Iteration: 2503  Instance: 
/testbench/init_top_1/transshiftreg_1
# ** Warning: NUMERIC_STD.TO_SIGNED: vector truncated
#    Time: 0 ps  Iteration: 2504  Instance: 
/testbench/init_top_1/transshiftreg_1
# ** Warning: NUMERIC_STD.TO_SIGNED: vector truncated

und so weiter....

Habe den Codeteil angehängt.Die Konvertierung passt anscheinend nicht. 
Vielleicht hat jemand ähnliche Fehler schon gehabt. Bin um jede Hilfe 
froh.

Vielen Dank

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> ich bekomme folgende Fehler:
Falsch. Das sind Warnungen:
> ** Warning:...

> Die Konvertierung passt anscheinend nicht.
Bei mir gehts, da ist offenbar noch woanders was faul.



BTW:
>> ------Funktionsdeklarationdeklaration-------
Krassass...  ;-)

von Chris (Gast)


Lesenswert?

Danke für die Antwort. Stimmt Warnungen ;-) Habs selber gefunden ;-) Der 
cnt lief ins Nirvana...und somit wurde kontinuierlich subtrahiert.
Gruß und Danke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.