Forum: FPGA, VHDL & Co. größer kleiner vergleich


von dell (Gast)


Lesenswert?

Hallo,
hab da eine frage. Wenn ich zwei werte vergleichen will beide 
std_logic_vector. wie mach ich das am besten. muß ich die in int 
umwandeln oder gibt es ncoh andere methoden. vielen dank

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Nimm die numeric_std Lib und wandle sie nach unsigned...
1
use ieee.numeric_std.all
2
:
3
signal aa : STD_LOGIC_VECTOR(7 downto 0) := (others => '0');
4
signal bb : STD_LOGIC_VECTOR(7 downto 0) := (others => '1');
5
:
6
   if unsigned(aa) > unsigned(bb) then ...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.