Forum: FPGA, VHDL & Co. Altera UART a6850


von Sim (Gast)


Lesenswert?

Hallo Forum,

hat schon mal jemand von euch den UART a6850 IP-Core von Altera 
eingesetzt?

Ich versuche gerade eine Kommunikationsstrecke mit diesem aufzubauen und 
stoße hierbei auf ein Problem.

Der UART braucht eine Frequenz die 16*Baudrate entspricht. So weit so 
gut. Das funktioniert auch soweit. Jetzt habe ich aber die Anforderung 
an die Stabilität, dass es passieren kann, dass ein user die falsche 
Baudrate einstellt.
Dies ist an und für sich kein Problem. Das Kommunikationssystem welches 
hinter dem UART sitzt und diesen bedient, erkennt falsche Telegramme (am 
CRC, u.s.w.) und ignoriert diese.

Der UART allerdings sollte in diesem Fall aber dennoch Zeichen empfangen 
(wenn auch falsche).

Was ich jetzt beobachten kann ist, dass der UART nach einigen Sekunden 
(manchmal auch Minuten) einfach aufhört sein irq_n Signal, also seinen 
Empfangsinterrupt zu setzen. Er verhakt sich irgendwo und fängt sich 
auch nicht mehr.

Hat jemand eine Idee zu dieser Problematik?


Bin für jede Hilfe Dankbar!

Gruß
Sim

von Sim (Gast)


Lesenswert?

Hier noch der Link zum Datenblatt...

ftp://ftp.altera.com/pub/lit_req/document/ds/ds6850.pdf

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.