Forum: www.mikrocontroller.net vorschlag für forumänderung


von KoF (Gast)


Lesenswert?

hallo

ich hätte da mal einen vorschlag für eine verbesserung des forums.
auf vielen foren, auf denen allerlei leute code posten oder hilfe
stellen wir ein syntax-highlighting gezeigt.

kann man soetwas nicht auch hier machen?

von sven (Gast)


Lesenswert?

für code- postings wäre das echt komfortabler :-)

hiermit schliesse ich mich dem vorschlag an :-)

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Ungefaehr so?
1
#include <stdio.h>
2
3
int main(void)
4
{
5
  puts("Hello World!");
6
  return 0;
7
}

von sven (Gast)


Lesenswert?

jo, das kann doch richtig was :-)

plainview für copypaste is genial :-)

vllt hinter dem fragezeichen dein eigenes fenster, mit der
beschreibung, wie man ab nun code postet :-)

danke schon mal :-)

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Erledigt, danke fuer den Hinweis!

von Chief Brady (Gast)


Lesenswert?

Dies ist ein Test...

laber laber
1
void main(void)
2
{
3
  return(0);
4
}


mal sehen... ;-)

[asmpic]
bsf porta,5
[/asmpic]

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

1
---------------------------------------
2
-- driver (ESD book figure 2.3)    
3
--
4
-- two descriptions provided
5
----------------------------------------
6
7
library ieee;
8
use ieee.std_logic_1164.all;
9
10
----------------------------------------
11
12
entity Driver is
13
port(  x: in std_logic;
14
  F: out std_logic
15
);
16
end Driver;  
17
18
----------------------------------------
19
20
architecture behv1 of Driver is
21
begin
22
23
    process(x)
24
    begin
25
        -- compare to truth table
26
        if (x='1') then
27
            F <= '1';
28
        else
29
            F <= '0';
30
        end if;
31
    end process;
32
33
end behv1;
34
35
architecture behv2 of Driver is 
36
begin 
37
38
    F <= x; 
39
40
end behv2; 
41
42
------------------------------------------

von Stefan H. (stefan_h)


Lesenswert?

kleiner test:
1
Test1=0.0314*Test2

von Stefan H. (stefan_h)


Lesenswert?

Weicht ein bisserl ab vom plaintext.

lg,

Steafn

von KoF (Gast)


Lesenswert?

so, ich hab wieder einmal eine idee. vielleicht lässt sich diese ja auch
dieses mal verwirklichen.

meine idee ist folgende. ich lese oft und viele einträge im wiki und
ediere sie auch hier und da mal. jedoch drucke ich mir auch des öfteren
mal einen beitrag aus. zu druckender bereich kopieren, in ein
textprogram meiner wahl kopieren, "[bearbeiten]"-notizen entfernen
und dann ausdrucken.
schöner währe es ja (so meine idee), einen button einzufügen, der das
ganze auf ein pdfgenerator (ala ghostscript) umlenkt (dynamisch, immer
erst bei knopfbetätigung, wegen häufigen änderungen) und mir die
erstellte datei als download anbietet.

von 123 (Gast)


Lesenswert?

könntest du bitte das hausbusforum verlinken.

von Ithamar G. (antimon)


Lesenswert?

g glaub er hat keine Lust dazu - hab ihm schon ne Mail diesbezüglich
geschrieben...

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.