Forum: FPGA, VHDL & Co. kleine FPGAs


von DerInder (Gast)


Lesenswert?

Hallo,
gibt es eigentlich auch "kleine" FPGAs? Sprich mit max. 44 PINs.
Ich bräuchte nämlich nur ca. 30 IO-Pins, aber die möglichkeiten eines
CPLDs in dieser Grössenordnung sind zu eingeschränkt.

Gruß
-=jens=-

von Jochen Pernsteiner (Gast)


Lesenswert?

>gibt es eigentlich auch "kleine" FPGAs? Sprich mit max. 44 PINs.

Nein.

Wieso nimmst Du nicht ein größeres und benutzt nur 30 Pins?
Um SMD-Gehäuse wirst Du nicht herum kommen, falls dass Dein Problem
ist.


Jochen

von DerInder (Gast)


Lesenswert?

Hallo,
schade eigentlich :-(
Ich selbst habe keine grösseren Problem mit SMDs, nur wollte ich für
ein paar Freunde ein Bausatz aus der Schaltung machen.

von Pulse (Gast)


Lesenswert?

Vom PLCC Package sollte man sich generell verabschieden. In Zukunft muss
man froh sein wenn man überhaupt was anderes als BGA bekommt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.