Forum: FPGA, VHDL & Co. Konstante erstellen


von Michael (Gast)


Lesenswert?

Hallo @ all

Ich möchte ein Porgramm erstellen, indem ich Signalvectoren benötige,
die eine definierte Breite besitzen. Diese Breite soll aber durch eine
Konstante vorgegeben werden, die ich am Anfang festlege, so das ich nur
den Wert ändern muss und im restlichen Quelltext wird alles angepasst.

Gibt es überhaupt die Möglichkeit dies zu realisieren? Wenn ja kann
vielleicht jemand ein kurezes Beispiel darstellen.

Ich finde dies ist eine wichtige Sache, denn es wird sicherlich häufig
verwendet.

Gruß
Michael

von Jörn (Gast)


Lesenswert?

ist gar kein Problem. Das Läßt sich über gernerics machen, s.Bsp.

entity test is
  generic (
        WIDTH : positive:=8
  );
  port (
    clk:     in std_logic;
                reset: in std_logic;
    a:in std_logic_vector(WIDTH-1 downto 0);
    b:in std_logic_vector(WIDTH-1 downto 0);
  );
end test;

architecture rtl of test is

signal c: std_logic_vector(WIDTH-1 downto 0);
signal d: std_logic_vector(WIDTH-1 downto 0);

begin
--tu was
end rtl;

Gruß Jörn

von Michael (Gast)


Lesenswert?

Danke schon mal für die schnelle Antwort.

Habe noch ein paar Fragen:

Ist "WIDTH" ein Variablenname und kann ich einen anderen wählen?
Muss ich "positive" mit angeben?

von Jörn (Gast)


Lesenswert?

WIDTH kann durch jeden beliegen Namen ersetzt werden. Ich benutzt den
Generic, um die Breite meiner Busse zu definieren.

Positive ist ein subtype von integer (1 bis int_max) und ist für die
Busbreite gut geeignet. Du kannst auch andere Typen angeben.

von Max Müller (Gast)


Lesenswert?

Eine ebenfalls sehr gute Lösung ist die Definition von Subtypes oder
Types in einem Package, das du dann überall includieren kannst.

Ebenfalls kannst du dort oft benützte Konstanten deklarieren und
definieren.

Du hast dann ein einzelnes File, das die alles definiert und einstellen
lässt.

Einbinden geht ganz einfach mit:

library work;
use work.pack_xyz.all;

Gruß

Max.

von Michael (Gast)


Lesenswert?

Muss ich denn in das Package folgendes schreiben?

entity test is
  generic
  (
     WIDTH : positive:=8
  );

Als was muss ich die Datei denn abspeichern? (arbeite kaum mit ISE oder
Webpack sondern ich benutze ProtelDXP 2004 von Altium das Programm ist
viel besser zu bedienen als ISE)

von Max Müller (Gast)


Lesenswert?

Nee, so nicht,

aber so gehts:
library ieee;

use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;

package GLOB_PKG is

   constant C_CNT_WIDTH          : integer := 8;

   subtype  T_FRAME         is std_logic_vector(31 downto 0);

   type     T_FRAME_ARR  is array (C_CNT_WIDTH-1 downto 0) of T_FRAME;

   constant C_NULL               : std_logic_vector(1 downto 0) :=
"00";

end GLOB_PKG;

package body GLOB_PKG is

end package body GLOB_PKG;


Einbinden geht ganz einfach mit:

library work;
use work.glob_pkg.all;


Gruß

Max

von Michael (Gast)


Lesenswert?

Danke ich werde es mal ausprobieren

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.