Forum: FPGA, VHDL & Co. Package mit variabler Bus-breite


von Zennehoy (Gast)


Lesenswert?

Hallo!
Ich habe in einem Package einen Bus-typ definiert, der eine Constant
als Breite-parameter annimmt:

package global is
...
type mtrx is array(0 to 24) of std_logic_vector(C_PIXWIDTH-1 downto
0);
...
end global;

Das Problem ist, dass C_PIXWIDTH meinem Top-Level als Generic
uebergeben wird, und ich nicht weiss, wie man dann im package dran
kommt. Die Xilinx-Tools melden jedenfalls "Undefined symbol
'C_PIXWIDTH'.", was ja eigentlich auch stimmt.

Wie kann ich auf einen Generic als Konstante in einem Package
zugreifen? Wenn's nicht geht, kennt vielleicht jemand einen anderen
Trick um das ganze zum laufen zu bringen?

Vielen Dank!
Zen

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.