Forum: FPGA, VHDL & Co. Xilinx Spartan 3 Konfiguration ohne angeschlossene Clock?


von Spice (Gast)


Lesenswert?

Hallo Zusammen,

ich habe eine Minimalschaltung mit einem Spartan 3 und einem XCF02s 
Platformflash gebaut. Die beiden Devices hängen in einer Chain und sind 
separat auch ohne Probleme zu bespielen. Das Beschreiben des 
Plattformflashes wird in ISE 10.1 erfolgreich durchgeführt, den FPGA 
kann ich mit einem Bitstreamfile ebenfalls bespielen, aber sobald ich 
die Spannungsversorgung aus und einschalte, holt sich der FPGA nicht die 
Konfiguration aus dem Flash. Woran könnte das liegen? Die berüchtigte 
Done-LED bleibt aus. Ich betreibe den FPGA im Master-Serial-Mode mit dem 
besagten Flash.
Nun meine Frage... An dem FPGA hängt noch keine Masterclock, also 
absolut keine Taktquelle für die schnelle Inbetriebnahme. Ist das 
eventuell der Grund oder generiert der FPGA die Clock selbstständig ohne 
eine angeschlossene Taktquelle an irgendeinem GCLK-Pin?

Besten Dank schon mal
Gruß Spice

von berndl (Gast)


Lesenswert?

Wenn ich's richtig memoriere, dann muesste der FPGA im Master-X-mode aus 
einem internen Oszillator die Clock selber generieren.

Hast du die Mode-Pins ueberprueft?

von Antti L. (trioflex)


Lesenswert?

1 in master mode kommt takt vom FPGA
2 startup clock muss CCLK sein bei bit gen, option prüfen

dann muss es gehen

von Spice (Gast)


Lesenswert?

Hi Zusammen,

danke für die Tipps, es war die verseuchte provisorische 
Spannungsversorgung... Vor allem die 1.2 und 2.5V müssen sehr stabil 
anliegen.

Gruß Spice

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.