Forum: FPGA, VHDL & Co. VHDL-Typenkonvertierung


von daniel (Gast)


Lesenswert?

Ich danke im voraus für eure Antworten!

Folgende Frage:

Ich möchte den Rückgabetyp einer "function"(Rückgabetyp: Integer)
einer Typenkonvertierung unterziehen.

Integer soll in einen std_logic_vector umgewandelt werden!

Bisher habe ich folgendes versucht, dies hat allerdings nicht
funktioniert(Fehlermeldung):

da<=std_logic_vector(to_unsigned(function_name(counter),6));

Hier noch die Portdefinition:
port(da : inout std_logic_vector(5 downto 0));

von FPGA-User (Gast)


Lesenswert?

probier mal :

da <= conv_std_logic_vector(unsigned(function_name(counter)),6);

und besser anstelle von 6 :  da'LENGTH

PS:
kannst auch die Funktion überladen und eine mit gleichem Namen
aber Rückgabetyp std_logic_vector schreiben, da liest sich der
Code besser

von daniel (Gast)


Lesenswert?

Herzlichen Dank an FPGA-User!
->Leider funktioniert es immer noch nicht!

Hier schicke ich mein vhd-File als txt-File!

Das Problem liegt an der Stelle der Typenkonvertierung!(probably!!?)

von daniel (Gast)


Angehängte Dateien:

Lesenswert?

Entschuldigung-keine Anlage!

von daniel (Gast)


Angehängte Dateien:

Lesenswert?

Hier ist ein Screenshot der Fehlermeldung zu sehen!

von Tobias O. (Gast)


Lesenswert?

lass einfach das unsigned weg

von Sergio (Gast)


Lesenswert?

Hi Daniel,

versucht mal mit der library:

    USE ieee.std_logic_arith.all;

und dann benutzt das funktion.

Grüße,

Sergio

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.