Forum: FPGA, VHDL & Co. Input Buffer Problem


von Daniel (Gast)


Lesenswert?

Hallo Leute - ich schon wieder

Mein Design läuft ganz gut, aber ich habe folgendes Problem beim Routen
eines Inputs.

Und zwar nutze ich einen Spartan2. Dieser hat auf Bank0 einen
GlobalClockEingang (GCK). Diesen nutze ich jedoch nicht als GCK sondern
als einfachen Eingang, was lt. Datenblatt ok ist. Bezeichnet ist der Pin
mit [GCK3,I], kann also also Global Clock Buffer oder einfacher
Eingang-nicht jedoch als Ausgang genutzt werden.
Jetzt habe ich ihn über einen standart IBUF geführt. Bei der Erzeugung
des Prog-File meckert Xilinx nun folgendes an:

Pack:1107 - Unable to combine the following symbols into a single IOB
   component:
     PAD symbol "DSP_A4" (Pad Signal = DSP_A4)
     BUF symbol "DSP_A4_IBUF" (Output Signal = DSP_A4_IN)
   Each of the following constraints specifies an illegal physical site
for a
   component of type IOB:
     Symbol "DSP_A4" (LOC=P15)
   Please correct the constraints accordingly.

Ändere ich den Buffer zu IBUFG, so ist die Fehlermeldung weg, jedoch
kommt die Warning: Input XXX is not driving a Clock Net.

Kann ich mit dieser Warnung leben oder gibt es einen eleganten Weg?

Danke, Daniel

von FPGA-User (Gast)


Lesenswert?

Hallo Daniel,

klar kannst Du mit der Warnung für den IBUFG leben, sie besagt doch
nur,
dass Du den Pin eben nicht als globalen Clock verwendest.
Für mich wäre das auch keine Warnung wert, höchstens ein NOTE.

von Daniel (Gast)


Lesenswert?

alles klar - funktionieren tuts auch.

Danke

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.