Forum: FPGA, VHDL & Co. BRAM initialisieren mit data2mem


von Thomas B. (paraglider)


Angehängte Dateien:

Lesenswert?

Hallo,

diesmal habe ich mal eine Frage: Hat jemand eine Beschreibung, wie eine
.bmm-Datei und eine .mem-Datei aufgebaut sein müssen? Kann im Internet
nicht viel finden.
Alternativ kann sich vielleicht mal jemand die angehängte Datei
anschauen, wo da der Fehler ist (oben mc3.bmm, darunter ein paar Daten
in test.mem, unten die Warnungen). Es wird kein einziges Byte gesetzt!

Vielen Dank und Gruß,
Thomas

von nimbus4 (Gast)


Angehängte Dateien:

Lesenswert?

Es fehlt auf jeden Fall die Angabe in welchen BlockRam im FPGA
geschrieben werden soll.
Sollte in etwa wie hier aussehen:

ADDRESS_BLOCK pm RAMB16 [0x000:0xFFF]

BUS_BLOCK
avrAH/PMemory [15:0] PLACED=X2Y14 OUTPUT = pm1.mem;
END_BUS_BLOCK;

BUS_BLOCK
avrAH/PMemory_2[15:0] PLACED=X2Y13 OUTPUT = pm2.mem;
END_BUS_BLOCK;



END_ADDRESS_BLOCK;

/* sram : PLACED=X2Y3*/

von Thomas B. (paraglider)


Lesenswert?

Vielen Dank Nimbus.
Dass die Ortsangabe in irgendeiner Weise fehlt, hätte ich mir denken
können. Allerdings hätte mich selbst diese Idee nicht viel weiter
gebracht, da die Dokumentation zu dem neuen Programm "data2mem"
deutlich zu kurz geraten ist.
Jetzt geht alles einwandfrei.
Gruß,
Thomas

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.