Forum: FPGA, VHDL & Co. Tasteneingabe mittels Erweiterungsboard?!


von Harry (Gast)


Lesenswert?

Hallo an alle
Bin ein eneuling in vhdl und versuche gerade mit den Spartan 2 und den 
Display/ Tasten Erweiterungsboard der Firma Trenz Electronic einen 
Zähler zu programmieren. Der Zähler funktioniert auch schon aber das 
einlesen der Tasten geht nicht wirklich!

  Multiplexer: process (state, toest, seg3, seg2, seg1, seg0)
   begin  -- process Multiplexer
     case state is
       when "100" => iobus_b <= leds;
       when "010" => iobus_b <= seg3;
       when "110" => iobus_b <= seg2;
       when "001" => iobus_b <= seg1;
       when "101" => iobus_b <= seg0;
       when others => iobus_b <= (others => 'Z');
     end case;
   end process Multiplexer;


  -- purpose: FlipFlop for the buttons
  -- type   : sequential
  -- inputs : precount, reset_n
  -- outputs: buttons
  buttons_FF: process (clk48, reset_n)
   begin  -- process buttons
     if reset_n = '0' then              -- asynchronous reset (active 
low)
       buttons <= (others => '0');
     elsif clk48'event and clk48 = '1' then  -- rising clock edge
       if state = "111" then
         buttons <= not iobus_b;
      end if;
     end if;
   end process buttons_FF;

Also laut datenblatt wird immer bei 111 vom Bus eingelesen! Daher 
schalte ich bei 111 den Ein/Ausgang auf hochohmig also Z und schreibe in 
das Buttons Signal den Bus!

Das sollte doch so gehen oder habe ich einen Fehler?

MFG Harald

von Michael L. (loenny)


Lesenswert?

Wie ich sehe fehlt da schonmal im process buttons_FF ein

END IF;

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.