Forum: FPGA, VHDL & Co. Vergleich zweier std_logic_vector in Modelsim?


von Christian P. (kron)


Lesenswert?

Hallo,

ich möchte zwei std_logic_vector miteinander
vergleichen (gleiche Länge natürlich).
Das scheint mit
if (vec1 < vec2)
auch gut zu gehen, die Synthese meckert jedenfalls nicht.
Will ich es aber simulieren, bekomme ich die merkwürdige Meldung
Warning: NUMERIC_STD.">=": metavalue detected, returning FALSE

Weiß jemand, was das bedeutet und ob ich hier was falsch mache?

von Stefan H. (stefanhanke)


Lesenswert?

Numeric_std (jedenfalls das, was Xilinx da mitliefert) definiert 
Metavalue in diesem Kontext als alles außer '0', '1', 'H' und 'L'. Guck 
dir deine Vektoren mal genauer an.

Ausserdem gibt es keine Funktion, die explizit für std_logic_vector 
geschrieben ist. Da scheint es eine implizite Typkonversion zu geben...
 -- stefan

von Johannes S. (Gast)


Lesenswert?

Hi,
das bedeutet das deine vectoten keinen klar definierten Wert haben, wie 
z.B. 'u' bzw 'x'.
Ich schätze mal Anfangs werden deine vectoren den Wert 'u' haben und die 
Synthese kann deswegen logischerweise keinen Vergleich darauf anwenden.

von Johannes S. (Gast)


Lesenswert?

..unglücklich formuliert 'u','x' haben z.B. keinen "klar definierten 
wert".

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.