Forum: FPGA, VHDL & Co. Statemachine: Fallende Flanke


von Chris R. (mrgreen)


Lesenswert?

Hi,
eine Statemachine soll in einen Zustand gehen, wenn mindestens ein 
Taster (von vier) gedrückt wird.
Kein Problem.

Jetzt soll sie aber in einen anderen Zustand gehen alle Taster wieder 
losgelassen sind. Leider klappt das nicht, sie bleibt im alten Zustand 
hängen.

Ich wollte diesen Zustand so machen:

when Z_Finish_Add => if (Keys = "0000")  then
                        FolgeZustand <= Z_Wait;
         else
      FolgeZustand <= Z_Finish_Add;
         end if;


Natürlich in einem Prozess eingebettet. Reagiert der Prozess nicht auf 
die fallende Flanke? Wie kann ich das denn sonst abfragen?

von Klaus Falser (Gast)


Lesenswert?

Mit fallender Flanke hat das wenig zutun, dabei bezieht man sich auf das 
Takt-Signal.
Der Code-Teil ist schon richtig, der Fehler muß im restlichen Design 
liegen.
Hast Du bedacht, daß die Taster prellen?

von Chris R. (mrgreen)


Lesenswert?

Das tun sie nicht, die sind auf dem DE2 Board.
Außerdem ist es zur Zeit nur eine Testbench.

Der Fehler lag darin, dass ich im Test einen Teil der Signale vergessen 
hab zu initialisieren, die waren also U.

Danke trotzdem.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.