Forum: FPGA, VHDL & Co. ISE 9.2.04i + PACE = ERROR


von Heiko L. (der_caveman)


Lesenswert?

Hallo!

Ich fange gerade an mich mit dem ISE Webpack zu beschäftigen.

Jetzt habe ich schon ein kleines Problem- ich habe ein Projekt mit einem 
kleinen Counter und will ihm jetzt Pins zuweisen.
PACE startet und meldet direkt einen Warndialog (PACE was unable to 
parse the HDL source file).

Im Statusfenster ließt man dann:
ERROR:HDLParsers:3562 - pepExtractor.prj line 1  Expecting 'vhdl' or 
'verilog'   keyword,  found 'work'.

Wenn ich dann die Dateien meines Projektes manuell lade, dann ist alles 
in Ordnung.

Ist das ein Bug im Webpack (scheint ja noch sehr viele zu haben, 
nachdem, was ich da schon alles gelesen habe)?

Danke,
Heiko.

von Morin (Gast)


Lesenswert?

Nicht unwahrscheinlich, so wie ich das ISE kenne...

Zum einen kann ich dir nur raten eine ältere Version zu benutzen (< 8), 
der der synthesizer dann wesentlich schneller läuft. Ob das das Problem 
behebt ist eine andere Frage, aber gerade die neue Version ist ziemlich 
verbuggt.

Zum anderen kannst du das PACE so lange auf diese Art (dateien nach dem 
Start nachladen) benutzen bis du das .ucf Format beherrscht. Gerade fürs 
Pins zuweisen ist das extrem einfach, und viel mehr macht man lange Zeit 
nicht damit. Wenn du das kannst machst du eh alles mit nem Texteditor 
(allen schon wegen der Zeit die das PACE zum starten braucht).

von TheMason (Gast)


Lesenswert?

@morin

>Zum einen kann ich dir nur raten eine ältere Version zu benutzen (< 8),

wo kann man sich eigentlich alte ise-versionen herunterladen ?

von Jens (Gast)


Lesenswert?

Hallo Heiko,

dieses Problem hatte ich auch gerade (nach Update auf 9.2iSP04). Bei mir 
konnte ich Abhilfe dadurch schaffen, indem ich meine Projektdaten (alt 
bzw. neu angelegt) nur in Verzeichnispfaden ohne Leerzeichen speichere.

Vorher: (mit Problemen)

D:\Eigene Dateien\Xilinx\...
         ^

Nachher: (alles wieder in Ordnung)

D:\Projekte\Xilinx\...


Ich entwickle aktuell ein Projekt mit CPLD XC95108 und habe bis auf die 
weiteren bekannten Macken (z.B. use_dsp48 Warning) keine Sorgen mit der 
Version.

Gruß Jens

von Morin (Gast)


Lesenswert?

Uff kann ich dir leider nicht sagen, bzw. kann ich dir nicht mal sagen 
ob das überhaupt geht. Ich mach im Moment nicht so viel mit CPLD/FPGA 
und hoffe die ganze Zeit, dass ich im Zweifel irgendwo noch eine alte 
Version rumfliegen hab (hab auch im Moment die 9.2i drauf und bin 
ziemlich enttäuscht).

von Falk B. (falk)


Lesenswert?

@ TheMason (Gast)

>>Zum einen kann ich dir nur raten eine ältere Version zu benutzen (< 8),
>wo kann man sich eigentlich alte ise-versionen herunterladen ?

Bei Xilinx?

@  Morin (Gast)

>Version rumfliegen hab (hab auch im Moment die 9.2i drauf und bin
>ziemlich enttäuscht).

Naja, was ich darüber gehört habe klingt einfach nur abartig böse. Dann 
besser Oldi but Goldi.

Guggst du hier.

http://www.xilinx.com/ise/logic_design_prod/classics.htm

Ich empfehle Version 6.3 + den zugehörigen Service Pack drei (der 
grösser als das Original ist, muss ich nicht verstehen).

MFG
Falk

von Morin (Gast)


Lesenswert?

Vielen Dank!

von Heiko L. (der_caveman)


Lesenswert?

Jens wrote:

Hallo!

> dieses Problem hatte ich auch gerade (nach Update auf 9.2iSP04). Bei mir
> konnte ich Abhilfe dadurch schaffen, indem ich meine Projektdaten (alt
> bzw. neu angelegt) nur in Verzeichnispfaden ohne Leerzeichen speichere.
>
> Vorher: (mit Problemen)
>
> D:\Eigene Dateien\Xilinx\...
>          ^
>
> Nachher: (alles wieder in Ordnung)
>
> D:\Projekte\Xilinx\...

Genau das war es.
Vielleicht wird es ja mal behoben, Verzeichnisse mit Leerzeichen gibt es 
ja nicht erst seit gestern.

Danke!

MfG,
Caveman

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.