Forum: FPGA, VHDL & Co. pin gegen masse


von Fabian (Gast)


Lesenswert?

hey,
hoff jemand von euch kann mir weiterhelfen.
wie kann ich in vhdl einen pin auf masse legen.
um meinen ausgabe freizugeben, muss das FREIGABEBIT auf masse,
wie und wo muss dass hin, denk mal in die entity
Unten mein programm

danke


Bsp.:
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;

entity GRUNDGATTER is

port(
  a: in std_logic ;
  b: in std_logic ;



  Yand: out std_logic ;
  Yor: out std_logic  ;
  Ynot: out std_logic ;
  Ynand: out std_logic  ;
  Ynor: out std_logic  ;
  Yxor: out std_logic  );
end;

architecture VERHALTEN of GRUNDGATTER is
begin

  Yand <= a and b;
  Yor  <= a or b;
  Ynot <= not a;

  Ynand <= a nand b;
  Ynor <= a nor b;
  Yxor <= a xor b;




end VERHALTEN;

von Gast (Gast)


Lesenswert?

Auf Masse oder Logisch 0 ?

freigabe <='0';

von Fabian (Gast)


Lesenswert?

auf masse,

also logisch 0

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.